Part Number Hot Search : 
HM62V1 SR1680 FR150 2SC4622 LT1016 25X40 S9025 LB161
Product Description
Full Text Search
 

To Download XC4000E-SERIES Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  may 14, 1999 (version 1.6) 6-5 6 xc4000e and xc4000x series features note: information in this data sheet covers the xc4000e, xc4000ex, and xc4000xl families. a separate data sheet covers the xc4000xla and xc4000xv families. electrical speci?cations and package/pin information are covered in separate sections for each family to make the information easier to access, review, and print. for access to these sec- tions, see the xilinx w eb linx web site at http://www .xilinx.com/par tinf o/databook.htm#xc4000 . ? system featured field-programmable gate arrays - select-ram tm memory: on-chip ultra-fast ram with - synchronous write option - dual-port ram option - fully pci compliant (speed grades -2 and faster) - abundant ?ip-?ops - flexible function generators - dedicated high-speed carry logic - wide edge decoders on each edge - hierarchy of interconnect lines - internal 3-state bus capability - eight global low-skew clock or signal distribution networks ? system performance beyond 80 mhz ? flexible array architecture ? low power segmented routing architecture ? systems-oriented features - ieee 1149.1-compatible boundary scan logic support - individually programmable output slew rate - programmable input pull-up or pull-down resistors - 12 ma sink current per xc4000e output ? con?gured by loading binary file - unlimited re-programmability ? read back capability - program veri?cation - internal node observability ? backward compatible with xc4000 devices ? development system runs on most common computer platforms - interfaces to popular design environments - fully automatic mapping, placement and routing - interactive design editor for design optimization low-voltage versions available ? low-voltage devices function at 3.0 - 3.6 volts ? xc4000xl: high performance low-voltage versions of xc4000ex devices additional xc4000x series features ? highest performance 3.3 v xc4000xl ? highest capacity over 180,000 usable gates ? 5 v tolerant i/os on xc4000xl ? 0.35 m m sram process for xc4000xl ? additional routing over xc4000e - almost twice the routing capacity for high-density designs ? buffered interconnect for maximum speed blocks ? improved versaring tm i/o interconnect for better fixed pinout flexibility ? 12 ma sink current per xc4000x output ? flexible new high-speed clock network - eight additional early buffers for shorter clock delays - virtually unlimited number of clock signals ? optional multiplexer or 2-input function generator on device outputs ? four additional address bits in master parallel con?guration mode ? xc4000xv family offers the highest density with 0.25 m m 2.5 v technology introduction xc4000 series high-performance, high-capacity field pro- grammable gate arrays (fpgas) provide the bene?ts of custom cmos vlsi, while avoiding the initial cost, long development cycle, and inherent risk of a conventional masked gate array. the result of thirteen years of fpga design experience and feedback from thousands of customers, these fpgas com- bine architectural versatility, on-chip select-ram memory with edge-triggered and dual-port modes, increased speed, abundant routing resources, and new, sophisticated software to achieve fully automated implementation of complex, high-density, high-performance designs. the xc4000e and xc4000x series currently have 20 members, as shown in ta b l e 1 . 0 xc4000e and xc4000x series field programmable gate arrays may 14, 1999 (version 1.6) 00* product specification r
r xc4000e and xc4000x series field programmable gate arrays 6-6 may 14, 1999 (version 1.6) * max values of typical gate range include 20-30% of clbs used as ram. note: all functionality in low-voltage families is the same as in the corresponding 5-volt family, except where numerical references are made to timing or power. description xc4000 series devices are implemented with a regular, ?exible, programmable architecture of con?gurable logic blocks (clbs), interconnected by a powerful hierarchy of versatile routing resources, and surrounded by a perimeter of programmable input/output blocks (iobs). they have generous routing resources to accommodate the most complex interconnect patterns. the devices are customized by loading con?guration data into internal memory cells. the fpga can either actively read its con?guration data from an external serial or byte-parallel prom (master modes), or the con?guration data can be written into the fpga from an external device (slave and peripheral modes). xc4000 series fpgas are supported by powerful and sophisticated software, covering every aspect of design from schematic or behavioral entry, ?oor planning, simula- tion, automatic block placement and routing of intercon- nects, to the creation, downloading, and readback of the con?guration bit stream. because xilinx fpgas can be reprogrammed an unlimited number of times, they can be used in innovative designs where hardware is changed dynamically, or where hard- ware must be adapted to different user applications. fpgas are ideal for shortening design and development cycles, and also offer a cost-effective solution for produc- tion rates well beyond 5,000 systems per month. for lowest high-volume unit cost, a design can ?rst be implemented in the xc4000e or xc4000x, then migrated to one of xilinx compatible hardwire mask-programmed devices. taking advantage of re-con?guration fpga devices can be re-con?gured to change logic func- tion while resident in the system. this capability gives the system designer a new degree of freedom not available with any other type of logic. hardware can be changed as easily as software. design updates or modi?cations are easy, and can be made to products already in the ?eld. an fpga can even be re-con- ?gured dynamically to perform different functions at differ- ent times. re-con?gurable logic can be used to implement system self-diagnostics, create systems capable of being re-con- ?gured for different environments or operations, or imple- ment multi-purpose hardware for a given application. as an added bene?t, using re-con?gurable fpga devices simpli- ?es hardware design and debugging and shortens product time-to-market. table 1: xc4000e and xc4000x series field programmable gate arrays device logic cells max logic gates (no ram) max. ram bits (no logic) typical gate range (logic and ram)* clb matrix total clbs number of flip-flops max. user i/o xc4002xl 152 1,600 2,048 1,000 - 3,000 8 x 8 64 256 64 xc4003e 238 3,000 3,200 2,000 - 5,000 10 x 10 100 360 80 xc4005e/xl 466 5,000 6,272 3,000 - 9,000 14 x 14 196 616 112 xc4006e 608 6,000 8,192 4,000 - 12,000 16 x 16 256 768 128 xc4008e 770 8,000 10,368 6,000 - 15,000 18 x 18 324 936 144 xc4010e/xl 950 10,000 12,800 7,000 - 20,000 20 x 20 400 1,120 160 xc4013e/xl 1368 13,000 18,432 10,000 - 30,000 24 x 24 576 1,536 192 xc4020e/xl 1862 20,000 25,088 13,000 - 40,000 28 x 28 784 2,016 224 xc4025e 2432 25,000 32,768 15,000 - 45,000 32 x 32 1,024 2,560 256 xc4028ex/xl 2432 28,000 32,768 18,000 - 50,000 32 x 32 1,024 2,560 256 xc4036ex/xl 3078 36,000 41,472 22,000 - 65,000 36 x 36 1,296 3,168 288 xc4044xl 3800 44,000 51,200 27,000 - 80,000 40 x 40 1,600 3,840 320 xc4052xl 4598 52,000 61,952 33,000 - 100,000 44 x 44 1,936 4,576 352 xc4062xl 5472 62,000 73,728 40,000 - 130,000 48 x 48 2,304 5,376 384 xc4085xl 7448 85,000 100,352 55,000 - 180,000 56 x 56 3,136 7,168 448
r may 14, 1999 (version 1.6) 6-7 xc4000e and xc4000x series field programmable gate arrays 6 xc4000e and xc4000x series compared to the xc4000 for readers already familiar with the xc4000 family of xil- inx field programmable gate arrays, the major new fea- tures in the xc4000 series devices are listed in this section. the biggest advantages of xc4000e and xc4000x devices are signi?cantly increased system speed, greater capacity, and new architectural features, particularly select-ram memory. the xc4000x devices also offer many new routing features, including special high-speed clock buffers that can be used to capture input data with minimal delay. any xc4000e device is pinout- and bitstream-compatible with the corresponding xc4000 device. an existing xc4000 bitstream can be used to program an xc4000e device. however, since the xc4000e includes many new features, an xc4000e bitstream cannot be loaded into an xc4000 device. xc4000x series devices are not bitstream-compatible with equivalent array size devices in the xc4000 or xc4000e families. however, equivalent array size devices, such as the xc4025, xc4025e, xc4028ex, and xc4028xl, are pinout-compatible. improvements in xc4000e and xc4000x increased system speed xc4000e and xc4000x devices can run at synchronous system clock rates of up to 80 mhz, and internal perfor- mance can exceed 150 mhz. this increase in performance over the previous families stems from improvements in both device processing and system architecture. xc4000 series devices use a sub-micron multi-layer metal process. in addition, many architectural improvements have been made, as described below. the xc4000xl family is a high performance 3.3v family based on 0.35 m sram technology and supports system speeds to 80 mhz. pci compliance xc4000 series -2 and faster speed grades are fully pci compliant. xc4000e and xc4000x devices can be used to implement a one-chip pci solution. carry logic the speed of the carry logic chain has increased dramati- cally. some parameters, such as the delay on the carry chain through a single clb (t byp ), have improved by as much as 50% from xc4000 values. see fast carry logic on page 18 for more information. select-ram memory: edge-triggered, synchro- nous ram modes the ram in any clb can be con?gured for synchronous, edge-triggered, write operation. the read operation is not affected by this change to an edge-triggered write. dual-port ram a separate option converts the 16x2 ram in any clb into a 16x1 dual-port ram with simultaneous read/write. the function generators in each clb can be con?gured as either level-sensitive (asynchronous) single-port ram, edge-triggered (synchronous) single-port ram, edge-trig- gered (synchronous) dual-port ram, or as combinatorial logic. con?gurable ram content the ram content can now be loaded at con?guration time, so that the ram starts up with user-de?ned data. h function generator in current xc4000 series devices, the h function generator is more versatile than in the original xc4000. its inputs can come not only from the f and g function generators but also from up to three of the four control input lines. the h function generator can thus be totally or partially indepen- dent of the other two function generators, increasing the maximum capacity of the device. iob clock enable the two ?ip-?ops in each iob have a common clock enable input, which through con?guration can be activated individ- ually for the input or output ?ip-?op or both. this clock enable operates exactly like the ec pin on the xc4000 clb. this new feature makes the iobs more versatile, and avoids the need for clock gating. output drivers the output pull-up structure defaults to a ttl-like totem-pole. this driver is an n-channel pull-up transistor, pulling to a voltage one transistor threshold below vcc, just like the xc4000 family outputs. alternatively, xc4000 series devices can be globally con?gured with cmos out- puts, with p-channel pull-up transistors pulling to vcc. also, the con?gurable pull-up resistor in the xc4000 series is a p-channel transistor that pulls to vcc, whereas in the origi- nal xc4000 family it is an n-channel transistor that pulls to a voltage one transistor threshold below vcc.
r xc4000e and xc4000x series field programmable gate arrays 6-8 may 14, 1999 (version 1.6) input thresholds the input thresholds of 5v devices can be globally con?g- ured for either ttl (1.2 v threshold) or cmos (2.5 v threshold), just like xc2000 and xc3000 inputs. the two global adjustments of input threshold and output level are independent of each other. the xc4000xl family has an input threshold of 1.6v, compatible with both 3.3v cmos and ttl levels. global signal access to logic there is additional access from global clocks to the f and g function generator inputs. con?guration pin pull-up resistors during configuration, these pins have weak pull-up resis- tors. for the most popular configuration mode, slave serial, the mode pins can thus be left unconnected. the three mode inputs can be individually configured with or without weak pull-up or pull-down resistors. a pull-down resistor value of 4.7 k w is recommended. the three mode inputs can be individually con?gured with or without weak pull-up or pull-down resistors after con?gu- ration. the pr ogram input pin has a permanent weak pull-up. soft start-up like the xc3000a, xc4000 series devices have soft start-up. when the con?guration process is ?nished and the device starts up, the ?rst activation of the outputs is automatically slew-rate limited. this feature avoids poten- tial ground bounce when all outputs are turned on simulta- neously. immediately after start-up, the slew rate of the individual outputs is, as in the xc4000 family, determined by the individual con?guration option. xc4000 and xc4000a compatibility existing xc4000 bitstreams can be used to con?gure an xc4000e device. xc4000a bitstreams must be recompiled for use with the xc4000e due to improved routing resources, although the devices are pin-for-pin compatible. additional improvements in xc4000x only increased routing new interconnect in the xc4000x includes twenty-two additional vertical lines in each column of clbs and twelve new horizontal lines in each row of clbs. the twelve quad lines in each clb row and column include optional repow- ering buffers for maximum speed. additional high-perfor- mance routing near the iobs enhances pin ?exibility. faster input and output a fast, dedicated early clock sourced by global clock buffers is available for the iobs. to ensure synchronization with the regular global clocks, a fast capture latch driven by the early clock is available. the input data can be initially loaded into the fast capture latch with the early clock, then transferred to the input ?ip-?op or latch with the low-skew global clock. a programmable delay on the input can be used to avoid hold-time requirements. see iob input sig- nals on page 20 for more information. latch capability in clbs storage elements in the xc4000x clb can be con?gured as either ?ip-?ops or latches. this capability makes the fpga highly synthesis-compatible. iob output mux from output clock a multiplexer in the iob allows the output clock to select either the output data or the iob clock enable as the output to the pad. thus, two different data signals can share a sin- gle output pad, effectively doubling the number of device outputs without requiring a larger, more expensive pack- age. this multiplexer can also be con?gured as an and-gate to implement a very fast pin-to-pin path. see iob output signals on page 23 for more information. additional address bits larger devices require more bits of con?guration data. a daisy chain of several large xc4000x devices may require a prom that cannot be addressed by the eighteen address bits supported in the xc4000e. the xc4000x series therefore extends the addressing in master parallel con?g- uration mode to 22 bits.
r may 14, 1999 (version 1.6) 6-9 xc4000e and xc4000x series field programmable gate arrays 6 detailed functional description xc4000 series devices achieve high speed through advanced semiconductor technology and improved archi- tecture. the xc4000e and xc4000x support system clock rates of up to 80 mhz and internal performance in excess of 150 mhz. compared to older xilinx fpga families, xc4000 series devices are more powerful. they offer on-chip edge-triggered and dual-port ram, clock enables on i/o ?ip-?ops, and wide-input decoders. they are more versatile in many applications, especially those involving ram. design cycles are faster due to a combination of increased routing resources and more sophisticated soft- ware. basic building blocks xilinx user-programmable gate arrays include two major con?gurable elements: con?gurable logic blocks (clbs) and input/output blocks (iobs). ? clbs provide the functional elements for constructing the users logic. ? iobs provide the interface between the package pins and internal signal lines. three other types of circuits are also available: ? 3-state buffers (tbufs) driving horizontal longlines are associated with each clb. ? wide edge decoders are available around the periphery of each device. ? an on-chip oscillator is provided. programmable interconnect resources provide routing paths to connect the inputs and outputs of these con?g- urable elements to the appropriate networks. the functionality of each circuit block is customized during con?guration by programming internal static memory cells. the values stored in these memory cells determine the logic functions and interconnections implemented in the fpga. each of these available circuits is described in this section. con?gurable logic blocks (clbs) con?gurable logic blocks implement most of the logic in an fpga. the principal clb elements are shown in figure 1 . two 4-input function generators (f and g) offer unrestricted versatility. most combinatorial logic functions need four or fewer inputs. however, a third function gener- ator (h) is provided. the h function generator has three inputs. either zero, one, or two of these inputs can be the outputs of f and g; the other input(s) are from outside the clb. the clb can, therefore, implement certain functions of up to nine variables, like parity check or expand- able-identity comparison of two sets of four inputs. each clb contains two storage elements that can be used to store the function generator outputs. however, the stor- age elements and function generators can also be used independently. these storage elements can be con?gured as ?ip-?ops in both xc4000e and xc4000x devices; in the xc4000x they can optionally be con?gured as latches. din can be used as a direct input to either of the two storage elements. h1 can drive the other through the h function generator. function generator outputs can also drive two outputs independent of the storage element outputs. this versatility increases logic capacity and simpli?es routing. thirteen clb inputs and four clb outputs provide access to the function generators and storage elements. these inputs and outputs connect to the programmable intercon- nect resources outside the block. function generators four independent inputs are provided to each of two func- tion generators (f1 - f4 and g1 - g4). these function gen- erators, with outputs labeled f and g, are each capable of implementing any arbitrarily de?ned boolean function of four inputs. the function generators are implemented as memory look-up tables. the propagation delay is therefore independent of the function implemented. a third function generator, labeled h, can implement any boolean function of its three inputs. two of these inputs can optionally be the f and g functional generator outputs. alternatively, one or both of these inputs can come from outside the clb (h2, h0). the third input must come from outside the block (h1). signals from the function generators can exit the clb on two outputs. f or h can be connected to the x output. g or h can be connected to the y output. a clb can be used to implement any of the following func- tions: ? any function of up to four variables, plus any second function of up to four unrelated variables, plus any third function of up to three unrelated variables 1 ? any single function of ?ve variables ? any function of four variables together with some functions of six variables ? some functions of up to nine variables. implementing wide functions in a single block reduces both the number of blocks required and the delay in the signal path, achieving both increased capacity and speed. the versatility of the clb function generators signi?cantly improves system speed. in addition, the design-software tools can deal with each function generator independently. this ?exibility improves cell usage. 1. when three separate functions are generated, one of the function outputs must be captured in a ?ip-?op internal to the clb. only two unregistered function generator outputs are available from the clb.
r xc4000e and xc4000x series field programmable gate arrays 6-10 may 14, 1999 (version 1.6) flip-flops the clb can pass the combinatorial output(s) to the inter- connect network, but can also store the combinatorial results or other incoming data in one or two ?ip-?ops, and connect their outputs to the interconnect network as well. the two edge-triggered d-type ?ip-?ops have common clock (k) and clock enable (ec) inputs. either or both clock inputs can also be permanently enabled. storage element functionality is described in ta bl e 2 . latches (xc4000x only) the clb storage elements can also be con?gured as latches. the two latches have common clock (k) and clock enable (ec) inputs. storage element functionality is described in ta bl e 2 . clock input each ?ip-?op can be triggered on either the rising or falling clock edge. the clock pin is shared by both storage ele- ments. however, the clock is individually invertible for each storage element. any inverter placed on the clock input is automatically absorbed into the clb. clock enable the clock enable signal (ec) is active high. the ec pin is shared by both storage elements. if left unconnected for either, the clock enable for that storage element defaults to the active state. ec is not invertible within the clb. logic function of g1-g4 g 4 g 3 g 2 g 1 g' logic function of f1-f4 f 4 f 3 f 2 f 1 f' logic function of f', g', and h1 h' din f' g' h' din f' g' h' g' h' h' f' s/r control d ec rd bypass bypass sd yq xq q s/r control d ec rd sd q 1 1 k (clock) multiplexer controlled by configuration program y x d in /h 2 h 1 sr/h 0 ec x6692 c 1 ???c 4 4 figure 1: simpli?ed block diagram of xc4000 series clb (ram and carry logic functions not shown) table 2: clb storage element functionality (active rising edge is shown) mode k ec sr d q power-up or gsr xxxxsr flip-flop xx1xsr __/ 1* 0* d d 0x0*xq latch 11*0*xq 01*0*dd both x 0 0* x q legend: x __/ sr 0* 1* dont care rising edge set or reset value. reset is default. input is low or unconnected (default value) input is high or unconnected (default value)
r may 14, 1999 (version 1.6) 6-11 xc4000e and xc4000x series field programmable gate arrays 6 set/reset an asynchronous storage element input (sr) can be con- ?gured as either set or reset. this con?guration option determines the state in which each ?ip-?op becomes oper- ational after con?guration. it also determines the effect of a global set/reset pulse during normal operation, and the effect of a pulse on the sr pin of the clb. all three set/reset functions for any single ?ip-?op are controlled by the same con?guration data bit. the set/reset state can be independently speci?ed for each ?ip-?op. this input can also be independently disabled for either ?ip-?op. the set/reset state is speci?ed by using the init attribute, or by placing the appropriate set or reset ?ip-?op library symbol. sr is active high. it is not invertible within the clb. global set/reset a separate global set/reset line (not shown in figure 1 ) sets or clears each storage element during power-up, re-con?guration, or when a dedicated reset net is driven active. this global net (gsr) does not compete with other routing resources; it uses a dedicated distribution network. each ?ip-?op is con?gured as either globally set or reset in the same way that the local set/reset (sr) is speci?ed. therefore, if a ?ip-?op is set by sr, it is also set by gsr. similarly, a reset ?ip-?op is reset by both sr and gsr. gsr can be driven from any user-programmable pin as a global reset input. to use this global net, place an input pad and input buffer in the schematic or hdl code, driving the gsr pin of the startup symbol. (see figure 2 .) a spe- ci?c pin location can be assigned to this input using a loc attribute or property, just as with any other user-program- mable pad. an inverter can optionally be inserted after the input buffer to invert the sense of the global set/reset sig- nal. alternatively, gsr can be driven from any internal node. data inputs and outputs the source of a storage element data input is programma- ble. it is driven by any of the functions f, g, and h, or by the direct in (din) block input. the ?ip-?ops or latches drive the xq and yq clb outputs. two fast feed-through paths are available, as shown in figure 1 . a two-to-one multiplexer on each of the xq and yq outputs selects between a storage element output and any of the control inputs. this bypass is sometimes used by the automated router to repower internal signals. control signals multiplexers in the clb map the four control inputs (c1 - c4 in figure 1 ) into the four internal control signals (h1, din/h2, sr/h0, and ec). any of these inputs can drive any of the four internal control signals. when the logic function is enabled, the four inputs are: ? ec enable clock ? sr/h0 asynchronous set/reset or h function generator input 0 ? din/h2 direct in or h function generator input 2 ? h1 h function generator input 1. when the memory function is enabled, the four inputs are: ? ec enable clock ? we write enable ? d0 data input to f and/or g function generator ? d1 data input to g function generator (16x1 and 16x2 modes) or 5th address bit (32x1 mode). using fpga flip-flops and latches the abundance of ?ip-?ops in the xc4000 series invites pipelined designs. this is a powerful way of increasing per- formance by breaking the function into smaller subfunc- tions and executing them in parallel, passing on the results through pipeline ?ip-?ops. this method should be seriously considered wherever throughput is more important than latency. to include a clb ?ip-?op, place the appropriate library symbol. for example, fdce is a d-type ?ip-?op with clock enable and asynchronous clear. the corresponding latch symbol (for the xc4000x only) is called ldce. in xc4000 series devices, the ?ip ?ops can be used as reg- isters or shift registers without blocking the function gener- ators from performing a different, perhaps unrelated task. this ability increases the functional capacity of the devices. the clb setup time is speci?ed between the function gen- erator inputs and the clock input k. therefore, the speci?ed clb ?ip-?op setup time includes the delay through the function generator. using function generators as ram optional modes for each clb make the memory look-up tables in the f and g function generators usable as an array of read/write memory cells. available modes are level-sensitive (similar to the xc4000/a/h families), edge-triggered, and dual-port edge-triggered. depending on the selected mode, a single clb can be con?gured as either a 16x2, 32x1, or 16x1 bit array. pad ibuf gsr gts clk donein q1q4 q2 q3 startup x5260 figure 2: schematic symbols for global set/reset
r xc4000e and xc4000x series field programmable gate arrays 6-12 may 14, 1999 (version 1.6) supported clb memory con?gurations and timing modes for single- and dual-port modes are shown in ta b l e 3 . xc4000 series devices are the ?rst programmable logic devices with edge-triggered (synchronous) and dual-port ram accessible to the user. edge-triggered ram simpli- ?es system timing. dual-port ram doubles the effective throughput of fifo applications. these features can be individually programmed in any xc4000 series clb. advantages of on-chip and edge-triggered ram the on-chip ram is extremely fast. the read access time is the same as the logic delay. the write access time is slightly slower. both access times are much faster than any off-chip solution, because they avoid i/o delays. edge-triggered ram, also called synchronous ram, is a feature never before available in a field programmable gate array. the simplicity of designing with edge-triggered ram, and the markedly higher achievable performance, add up to a signi?cant improvement over existing devices with on-chip ram. three application notes are available from xilinx that dis- cuss edge-triggered ram: xc4000e edge-triggered and dual-port ram capability, implementing fifos in xc4000e ram, and synchronous and asynchronous fifo designs . all three application notes apply to both xc4000e and xc4000x ram. ram con?guration options the function generators in any clb can be con?gured as ram arrays in the following sizes: ? two 16x1 rams: two data inputs and two data outputs with identical or, if preferred, different addressing for each ram ? one 32x1 ram: one data input and one data output. one f or g function generator can be con?gured as a 16x1 ram while the other function generators are used to imple- ment any function of up to 5 inputs. additionally, the xc4000 series ram may have either of two timing modes: ? edge-triggered (synchronous): data written by the designated edge of the clb clock. we acts as a true clock enable. ? level-sensitive (asynchronous): an external we signal acts as the write strobe. the selected timing mode applies to both function genera- tors within a clb when both are con?gured as ram. the number of read ports is also programmable: ? single port: each function generator has a common read and write port ? dual port: both function generators are con?gured together as a single 16x1 dual-port ram with one write port and two read ports. simultaneous read and write operations to the same or different addresses are supported. ram con?guration options are selected by placing the appropriate library symbol. choosing a ram con?guration mode the appropriate choice of ram mode for a given design should be based on timing and resource requirements, desired functionality, and the simplicity of the design pro- cess. recommended usage is shown in ta b l e 4 . the difference between level-sensitive, edge-triggered, and dual-port ram is only in the write operation. read operation and timing is identical for all modes of operation. ram inputs and outputs the f1-f4 and g1-g4 inputs to the function generators act as address lines, selecting a particular memory cell in each look-up table. the functionality of the clb control signals changes when the function generators are con?gured as ram. the din/h2, h1, and sr/h0 lines become the two data inputs (d0, d1) and the write enable (we) input for the 16x2 memory. when the 32x1 con?guration is selected, d1 acts as the ?fth address bit and d0 is the data input. the contents of the memory cell(s) being addressed are available at the f and g function-generator outputs. they can exit the clb through its x and y outputs, or can be cap- tured in the clb ?ip-?op(s). con?guring the clb function generators as read/write memory does not affect the functionality of the other por- table 3: supported ram modes 16 x 1 16 x 2 32 x 1 edge- triggered timing level- sensitive timing single-port ??? ? ? dual-port ? ? table 4: ram mode selection level-sens itive edge-trigg ered dual-port edge-trigg ered use for new designs? no yes yes size (16x1, registered) 1/2 clb 1/2 clb 1 clb simultaneous read/write no no yes relative performance x2x 2x (4x effective)
r may 14, 1999 (version 1.6) 6-13 xc4000e and xc4000x series field programmable gate arrays 6 tions of the clb, with the exception of the rede?nition of the control signals. in 16x2 and 16x1 modes, the h function generator can be used to implement boolean functions of f, g, and d1, and the d ?ip-?ops can latch the f, g, h, or d0 signals. single-port edge-triggered mode edge-triggered (synchronous) ram simpli?es timing requirements. xc4000 series edge-triggered ram timing operates like writing to a data register. data and address are presented. the register is enabled for writing by a logic high on the write enable input, we. then a rising or falling clock edge loads the data into the register, as shown in figure 3 . complex timing relationships between address, data, and write enable signals are not required, and the external write enable pulse becomes a simple clock enable. the active edge of wclk latches the address, input data, and we sig- nals. an internal write pulse is generated that performs the write. see figure 4 and figure 5 for block diagrams of a clb con?gured as 16x2 and 32x1 edge-triggered, sin- gle-port ram. the relationships between clb pins and ram inputs and outputs for single-port, edge-triggered mode are shown in ta b l e 5 . the write clock input (wclk) can be con?gured as active on either the rising edge (default) or the falling edge. it uses the same clb pin (k) used to clock the clb ?ip-?ops, but it can be independently inverted. consequently, the ram output can optionally be registered within the same clb either by the same clock edge as the ram, or by the oppo- site edge of this clock. the sense of wclk applies to both function generators in the clb when both are con?gured as ram. the we pin is active-high and is not invertible within the clb. note: the pulse following the active edge of wclk (t wps in figure 3 ) must be less than one millisecond wide. for most applications, this requirement is not overly restrictive; however, it must not be forgotten. stopping wclk at this point in the write cycle could result in excessive current and even damage to the larger devices if many clbs are con- ?gured as edge-triggered ram. x6461 wclk (k) we address data in data out old new t dss t dhs t ass t ahs t wss t wps t whs t wos t ilo t ilo figure 3: edge-triggered ram write timing table 5: single-port edge-triggered ram signals ram signal clb pin function d d0 or d1 (16x2, 16x1), d0 (32x1) data in a[3:0] f1-f4 or g1-g4 address a[4] d1 (32x1) address we we write enable wclk k clock spo (data out) f or g single port out (data out)
r xc4000e and xc4000x series field programmable gate arrays 6-14 may 14, 1999 (version 1.6) g' 4 g 1 ???g 4 f 1 ???f 4 c 1 ???c 4 write decoder 1 of 16 d in 16-latch array x6752 4 4 mux f' write decoder 1 of 16 d in 16-latch array read address read address write pulse latch enable latch enable k (clock) we d 1 d 0 ec write pulse mux 4 4 figure 4: 16x2 (or 16x1) edge-triggered single-port ram g' 4 g 1 ???g 4 f 1 ???f 4 c 1 ???c 4 write decoder 1 of 16 d in 16-latch array x6754 4 4 mux f' write decoder 1 of 16 d in 16-latch array read address read address write pulse latch enable latch enable k (clock) we d 1 /a 4 d 0 ec ec write pulse mux 4 4 h' figure 5: 32x1 edge-triggered single-port ram (f and g addresses are identical)
r may 14, 1999 (version 1.6) 6-15 xc4000e and xc4000x series field programmable gate arrays 6 dual-port edge-triggered mode in dual-port mode, both the f and g function generators are used to create a single 16x1 ram array with one write port and two read ports. the resulting ram array can be read and written simultaneously at two independent addresses. simultaneous read and write operations at the same address are also supported. dual-port mode always has edge-triggered write timing, as shown in figure 3 . figure 6 shows a simple model of an xc4000 series clb con?gured as dual-port ram. one address port, labeled a[3:0], supplies both the read and write address for the f function generator. this function generator behaves the same as a 16x1 single-port edge-triggered ram array. the ram output, single port out (spo), appears at the f func- tion generator output. spo, therefore, re?ects the data at address a[3:0]. the other address port, labeled dpra[3:0] for dual port read address, supplies the read address for the g function generator. the write address for the g function generator, however, comes from the address a[3:0]. the output from this 16x1 ram array, dual port out (dpo), appears at the g function generator output. dpo, therefore, re?ects the data at address dpra[3:0]. therefore, by using a[3:0] for the write address and dpra[3:0] for the read address, and reading only the dpo output, a fifo that can read and write simultaneously is easily generated. simultaneous access doubles the effec- tive throughput of the fifo. the relationships between clb pins and ram inputs and outputs for dual-port, edge-triggered mode are shown in ta b l e 6 . see figure 7 on page 16 for a block diagram of a clb con?gured in this mode. table 6: dual-port edge-triggered ram signals note: the pulse following the active edge of wclk (t wps in figure 3 ) must be less than one millisecond wide. for most applications, this requirement is not overly restrictive; however, it must not be forgotten. stopping wclk at this point in the write cycle could result in excessive current and even damage to the larger devices if many clbs are con- ?gured as edge-triggered ram. single-port level-sensitive timing mode note: edge-triggered mode is recommended for all new designs. level-sensitive mode, also called asynchronous mode, is still supported for xc4000 series backward-com- patibility with the xc4000 family. level-sensitive ram timing is simple in concept but can be complicated in execution. data and address signals are presented, then a positive pulse on the write enable pin (we) performs a write into the ram at the designated address. as indicated by the level-sensitive label, this ram acts like a latch. during the we high pulse, changing the data lines results in new data written to the old address. changing the address lines while we is high results in spu- rious data written to the new addressand possibly at other addresses as well, as the address lines inevitably do not all change simultaneously. the user must generate a carefully timed we signal. the delay on the we signal and the address lines must be care- fully veri?ed to ensure that we does not become active until after the address lines have settled, and that we goes inactive before the address lines change again. the data must be stable before and after the falling edge of we. in practical terms, we is usually generated by a 2x clock. if a 2x clock is not available, the falling edge of the system clock can be used. however, there are inherent risks in this approach, since the we pulse must be guaranteed inactive before the next rising edge of the system clock. several older application notes are available from xilinx that dis- cuss the design of level-sensitive rams. these application notes include xapp031, using the xc4000 ram capabil- ity , and xapp042, high-speed ram design in xc4000 . however, the edge-triggered ram available in the xc4000 series is superior to level-sensitive ram for almost every application. we we ddq dq d dpra[3:0] a[3:0] ar[3:0] aw[3:0] we d ar[3:0] aw[3:0] ram16x1d primitive f function generator g function generator dpo (dual port out) registered dpo spo (single port out) registered spo wclk x6755 figure 6: xc4000 series dual-port ram, simple model ram signal clb pin function d d0 data in a[3:0] f1-f4 read address for f, write address for f and g dpra[3:0] g1-g4 read address for g we we write enable wclk k clock spo f single port out (addressed by a[3:0]) dpo g dual port out (addressed by dpra[3:0])
r xc4000e and xc4000x series field programmable gate arrays 6-16 may 14, 1999 (version 1.6) figure 8 shows the write timing for level-sensitive, sin- gle-port ram. the relationships between clb pins and ram inputs and outputs for single-port level-sensitive mode are shown in ta b l e 7 . figure 9 and figure 10 show block diagrams of a clb con- ?gured as 16x2 and 32x1 level-sensitive, single-port ram. initializing ram at con?guration both ram and rom implementations of the xc4000 series devices are initialized during con?guration. the ini- tial contents are de?ned via an init attribute or property attached to the ram or rom symbol, as described in the schematic library guide. if not de?ned, all ram contents are initialized to all zeros, by default. ram initialization occurs only during con?guration. the ram content is not affected by global set/reset. table 7: single-port level-sensitive ram signals g' g 1 ???g 4 f 1 ???f 4 write decoder 1 of 16 d in 16-latch array x6748 4 4 mux f' write decoder 1 of 16 d in 16-latch array read address read address write pulse latch enable latch enable k (clock) write pulse mux 4 4 c 1 ???c 4 4 we d 1 d 0 ec figure 7: 16x1 edge-triggered dual-port ram ram signal clb pin function d d0 or d1 data in a[3:0] f1-f4 or g1-g4 address we we write enable o f or g data out wc t address write enable data in as t wp t ds t dh t required ah t x6462 figure 8: level-sensitive ram write timing
r may 14, 1999 (version 1.6) 6-17 xc4000e and xc4000x series field programmable gate arrays 6 enable g' 4 g 1 ???g 4 f 1 ???f 4 write decoder 1 of 16 d in 16-latch array x6746 4 read address mux enable f' write decoder 1 of 16 d in 16-latch array 4 read address mux 4 c 1 ???c 4 4 we d 1 d 0 ec figure 9: 16x2 (or 16x1) level-sensitive single-port ram enable write decoder 1 of 16 d in 16-latch array x6749 4 read address mux enable write decoder 1 of 16 d in 16-latch array 4 read address mux g' 4 g 1 ???g 4 f 1 ???f 4 c 1 ???c 4 4 f' we d 1 /a 4 d 0 ec 4 h' figure 10: 32x1 level-sensitive single-port ram (f and g addresses are identical)
r xc4000e and xc4000x series field programmable gate arrays 6-18 may 14, 1999 (version 1.6) fast carry logic each clb f and g function generator contains dedicated arithmetic logic for the fast generation of carry and borrow signals. this extra output is passed on to the function gen- erator in the adjacent clb. the carry chain is independent of normal routing resources. dedicated fast carry logic greatly increases the ef?ciency and performance of adders, subtractors, accumulators, comparators and counters. it also opens the door to many new applications involving arithmetic operation, where the previous generations of fpgas were not fast enough or too inef?cient. high-speed address offset calculations in micro- processor or graphics systems, and high-speed addition in digital signal processing are two typical applications. the two 4-input function generators can be con?gured as a 2-bit adder with built-in hidden carry that can be expanded to any length. this dedicated carry circuitry is so fast and ef?cient that conventional speed-up methods like carry generate/propagate are meaningless even at the 16-bit level, and of marginal bene?t at the 32-bit level. this fast carry logic is one of the more signi?cant features of the xc4000 series, speeding up arithmetic and counting into the 70 mhz range. the carry chain in xc4000e devices can run either up or down. at the top and bottom of the columns where there are no clbs above or below, the carry is propagated to the right. (see figure 11 .) in order to improve speed in the high-capacity xc4000x devices, which can potentially have very long carry chains, the carry chain travels upward only, as shown in figure 12 . additionally, standard intercon- nect can be used to route a carry signal in the downward direction. figure 13 on page 19 shows an xc4000e clb with dedi- cated fast carry logic. the carry logic in the xc4000x is similar, except that cout exits at the top only, and the sig- nal cindown does not exist. as shown in figure 13 , the carry logic shares operand and control inputs with the func- tion generators. the carry outputs connect to the function generators, where they are combined with the operands to form the sums. figure 14 on page 20 shows the details of the carry logic for the xc4000e. this diagram shows the contents of the box labeled carry logic in figure 13 . the xc4000x carry logic is very similar, but a multiplexer on the pass-through carry chain has been eliminated to reduce delay. additionally, in the xc4000x the multiplexer on the g4 path has a memory-programmable 0 input, which per- mits g4 to directly connect to cout. g4 thus becomes an additional high-speed initialization path for carry-in. the dedicated carry logic is discussed in detail in xilinx document xapp 013: using the dedicated carry logic in xc4000 . this discussion also applies to xc4000e devices, and to xc4000x devices when the minor logic changes are taken into account. the fast carry logic can be accessed by placing special library symbols, or by using xilinx relationally placed mac- ros (rpms) that already include these symbols. x6687 clb clb clb clb clb clb clb clb clb clb clb clb clb clb clb clb figure 11: available xc4000e carry propagation paths x6610 clb clb clb clb clb clb clb clb clb clb clb clb clb clb clb clb figure 12: available xc4000x carry propagation paths (dotted lines use general interconnect)
r may 14, 1999 (version 1.6) 6-19 xc4000e and xc4000x series field programmable gate arrays 6 dq s/r ec yq y din h g f g h dq s/r ec xq din h g f h x h f g g4 g3 g2 g1 f f3 f2 f1 f4 f carry g carry c c down carry logic d c c up k s/r ec h1 x6699 out in out in in c out0 figure 13: fast carry logic in xc4000e clb (shaded area not present in xc4000x)
r xc4000e and xc4000x series field programmable gate arrays 6-20 may 14, 1999 (version 1.6) input/output blocks (iobs) user-con?gurable input/output blocks (iobs) provide the interface between external package pins and the internal logic. each iob controls one package pin and can be con- ?gured for input, output, or bidirectional signals. figure 15 shows a simpli?ed block diagram of the xc4000e iob. a more complete diagram which includes the boundary scan logic of the xc4000e iob can be found in figure 40 on page 43 , in the boundary scan section. the xc4000x iob contains some special features not included in the xc4000e iob. these features are high- lighted in a simpli?ed block diagram found in figure 16 , and discussed throughout this section. when xc4000x special features are discussed, they are clearly identi?ed in the text. any feature not so identi?ed is present in both xc4000e and xc4000x devices. iob input signals two paths, labeled i1 and i2 in figure 15 and figure 16 , bring input signals into the array. inputs also connect to an input register that can be programmed as either an edge-triggered ?ip-?op or a level-sensitive latch. the choice is made by placing the appropriate library sym- bol. for example, ifd is the basic input ?ip-?op (rising edge triggered), and ild is the basic input latch (transpar- ent-high). variations with inverted clocks are available, and some combinations of latches and ?ip-?ops can be imple- mented in a single iob, as described in the xact libraries guide . the xc4000e inputs can be globally con?gured for either ttl (1.2v) or 5.0 volt cmos thresholds, using an option in the bitstream generation software. there is a slight input hysteresis of about 300mv. the xc4000e output levels are also con?gurable; the two global adjustments of input threshold and output level are independent. inputs on the xc4000xl are ttl compatible and 3.3v cmos compatible. outputs on the xc4000xl are pulled to the 3.3v positive supply. the inputs of xc4000 series 5-volt devices can be driven by the outputs of any 3.3-volt device, if the 5-volt inputs are in ttl mode. supported sources for xc4000 series device inputs are shown in ta bl e 8 . 01 01 m m 0 1 01 m 0 1 m 10 m m 0 3 m 1 m i g1 g4 f2 f1 f3 c out g2 g3 f4 c in up c in down x2000 to function generators m m m c out0 figure 14: detail of xc4000e dedicated carry logic
r may 14, 1999 (version 1.6) 6-21 xc4000e and xc4000x series field programmable gate arrays 6 q flip- flop/ latch d d ce ce q out t output clock i input clock clock enable delay pad flip-flop slew rate control output buffer input buffer passive pull-up/ pull-down 2 i 1 x6704 figure 15: simpli?ed block diagram of xc4000e iob q flip-flop/ latch fast capture latch d q latch d g d 0 1 ce ce q out t output clock i input clock clock enable pad flip-flop slew rate control output buffer output mux input buffer passive pull-up/ pull-down 2 i 1 x5984 delay delay figure 16: simpli?ed block diagram of xc4000x iob (shaded areas indicate differences from xc4000e)
r xc4000e and xc4000x series field programmable gate arrays 6-22 may 14, 1999 (version 1.6) xc4000xl 5-volt tolerant i/os the i/os on the xc4000xl are fully 5-volt tolerant even though the v cc is 3.3 volts. this allows 5 v signals to directly connect to the xc4000xl inputs without damage, as shown in ta b l e 8 . in addition, the 3.3 volt v cc can be applied before or after 5 volt signals are applied to the i/os. this makes the xc4000xl immune to power supply sequencing problems. registered inputs the i1 and i2 signals that exit the block can each carry either the direct or registered input signal. the input and output storage elements in each iob have a common clock enable input, which, through con?guration, can be activated individually for the input or output ?ip-?op, or both. this clock enable operates exactly like the ec pin on the xc4000 series clb. it cannot be inverted within the iob. the storage element behavior is shown in ta b l e 9 . table 9: input register functionality (active rising edge is shown) optional delay guarantees zero hold time the data input to the register can optionally be delayed by several nanoseconds. with the delay enabled, the setup time of the input ?ip-?op is increased so that normal clock routing does not result in a positive hold-time requirement. a positive hold time requirement can lead to unreliable, temperature- or processing-dependent operation. the input ?ip-?op setup time is de?ned between the data measured at the device i/o pin and the clock input at the iob (not at the clock pin). any routing delay from the device clock pin to the clock input of the iob must, therefore, be subtracted from this setup time to arrive at the real setup time requirement relative to the device pins. a short speci- ?ed setup time might, therefore, result in a negative setup time at the device pins, i.e., a positive hold-time require- ment. when a delay is inserted on the data line, more clock delay can be tolerated without causing a positive hold-time requirement. suf?cient delay eliminates the possibility of a data hold-time requirement at the external pin. the maxi- mum delay is therefore inserted as the default. the xc4000e iob has a one-tap delay element: either the delay is inserted (default), or it is not. the delay guarantees a zero hold time with respect to clocks routed through any of the xc4000e global clock buffers. (see global nets and buffers (xc4000e only) on page 35 for a description of the global clock buffers in the xc4000e.) for a shorter input register setup time, with non-zero hold, attach a nodelay attribute or property to the ?ip-?op. the xc4000x iob has a two-tap delay element, with choices of a full delay, a partial delay, or no delay. the attributes or properties used to select the desired delay are shown in ta b l e 1 0 . the choices are no added attribute, meddelay, and nodelay. the default setting, with no added attribute, ensures no hold time with respect to any of the xc4000x clock buffers, including the global low-skew buffers. meddelay ensures no hold time with respect to the global early buffers. inputs with nodelay may have a positive hold time with respect to all clock buffers. for a description of each of these buffers, see global nets and buffers (xc4000x only) on page 37 . table 10: xc4000x iob input delay element table 8: supported sources for xc4000 series device inputs source xc4000e/ex series inputs xc4000xl series inputs 5 v, ttl 5 v, cmos 3.3 v cmos any device, vcc = 3.3 v, cmos outputs ? unreli -able data ? xc4000 series, vcc = 5 v, ttl outputs ?? any device, vcc = 5 v, ttl outputs (voh 3.7 v) ?? any device, vcc = 5 v, cmos outputs ?? ? mode clock clock enable dq power-up or gsr xxxsr flip-flop __/ 1* d d 0xxq latch 1 1* x q 01*dd both x 0 x q legend: x __/ sr 0* 1* dont care rising edge set or reset value. reset is default. input is low or unconnected (default value) input is high or unconnected (default value) value when to use full delay (default, no attribute added) zero hold with respect to global low-skew buffer, global early buffer meddelay zero hold with respect to global early buffer nodelay short setup, positive hold time
r may 14, 1999 (version 1.6) 6-23 xc4000e and xc4000x series field programmable gate arrays 6 additional input latch for fast capture (xc4000x only) the xc4000x iob has an additional optional latch on the input. this latch, as shown in figure 16 , is clocked by the output clock the clock used for the output ?ip-?op rather than the input clock. therefore, two different clocks can be used to clock the two input storage elements. this additional latch allows the very fast capture of input data, which is then synchronized to the internal clock by the iob ?ip-?op or latch. to use this fast capture technique, drive the output clock pin (the fast capture latching signal) from the output of one of the global early buffers supplied in the xc4000x. the second storage element should be clocked by a global low-skew buffer, to synchronize the incoming data to the internal logic. (see figure 17 .) these special buffers are described in global nets and buffers (xc4000x only) on page 37 . the fast capture latch (fcl) is designed primarily for use with a global early buffer. for fast capture, a single clock signal is routed through both a global early buffer and a global low-skew buffer. (the two buffers share an input pad.) the fast capture latch is clocked by the global early buffer, and the standard iob ?ip-?op or latch is clocked by the global low-skew buffer. this mode is the safest way to use the fast capture latch, because the clock buffers on both storage elements are driven by the same pad. there is no external skew between clock pads to create potential problems. to place the fast capture latch in a design, use one of the special library symbols, ilffx or ilflx. ilffx is a trans- parent-low fast capture latch followed by an active-high input ?ip-?op. ilflx is a transparent-low fast capture latch followed by a transparent-high input latch. any of the clock inputs can be inverted before driving the library ele- ment, and the inverter is absorbed into the iob. if a single bufg output is used to drive both clock inputs, the soft- ware automatically runs the clock through both a global low-skew buffer and a global early buffer, and clocks the fast capture latch appropriately. figure 16 on page 21 also shows a two-tap delay on the input. by default, if the fast capture latch is used, the xilinx software assumes a global early buffer is driving the clock, and selects meddelay to ensure a zero hold time. select the desired delay based on the discussion in the previous subsection. iob output signals output signals can be optionally inverted within the iob, and can pass directly to the pad or be stored in an edge-triggered ?ip-?op. the functionality of this ?ip-?op is shown in ta bl e 1 1 . an active-high 3-state signal can be used to place the out- put buffer in a high-impedance state, implementing 3-state outputs or bidirectional i/o. under con?guration control, the output (out) and output 3-state (t) signals can be inverted. the polarity of these signals is independently con- ?gured for each iob. the 4-ma maximum output current speci?cation of many fpgas often forces the user to add external buffers, which are especially cumbersome on bidirectional i/o lines. the xc4000e and xc4000ex/xl devices solve many of these problems by providing a guaranteed output sink current of 12 ma. two adjacent outputs can be interconnected exter- nally to sink up to 24 ma. the xc4000e and xc4000ex/xl fpgas can thus directly drive buses on a printed circuit board. by default, the output pull-up structure is con?gured as a ttl-like totem-pole. the high driver is an n-channel pull-up transistor, pulling to a voltage one transistor threshold below vcc. alternatively, the outputs can be globally con?g- ured as cmos drivers, with p-channel pull-up transistors pulling to vcc. this option, applied using the bitstream gen- eration software, applies to all outputs on the device. it is not individually programmable. in the xc4000xl, all out- puts are pulled to the positive supply rail. ipad ipad bufge bufgls c ce dq gf to internal logic ilffx x9013 figure 17: examples using xc4000x fcl table 11: output flip-flop functionality (active rising edge is shown) mode clock clock enable t d q power-up or gsr x x 0* x sr flip-flop x00*xq __/ 1* 0* d d xx1xz 0x0*xq legend: x __/ sr 0* 1* z dont care rising edge set or reset value. reset is default. input is low or unconnected (default value) input is high or unconnected (default value) 3-state
r xc4000e and xc4000x series field programmable gate arrays 6-24 may 14, 1999 (version 1.6) any xc4000 series 5-volt device with its outputs con?g- ured in ttl mode can drive the inputs of any typical 3.3-volt device. (for a detailed discussion of how to inter- face between 5 v and 3.3 v devices, see the 3v products section of the programmable logic data book .) supported destinations for xc4000 series device outputs are shown in ta b l e 1 2 . an output can be con?gured as open-drain (open-collector) by placing an obuft symbol in a schematic or hdl code, then tying the 3-state pin (t) to the output signal, and the input pin (i) to ground. (see figure 18 .) table 12: supported destinations for xc4000 series outputs output slew rate the slew rate of each output buffer is, by default, reduced, to minimize power bus transients when switching non-criti- cal signals. for critical signals, attach a fast attribute or property to the output buffer or ?ip-?op. for xc4000e devices, maximum total capacitive load for simultaneous fast mode switching in the same direction is 200 pf for all package pins between each power/ground pin pair. for xc4000x devices, additional internal power/ground pin pairs are connected to special power and ground planes within the packages, to reduce ground bounce. therefore, the maximum total capacitive load is 300 pf between each external power/ground pin pair. maximum loading may vary for the low-voltage devices. for slew-rate limited outputs this total is two times larger for each device type: 400 pf for xc4000e devices and 600 pf for xc4000x devices. this maximum capacitive load should not be exceeded, as it can result in ground bounce of greater than 1.5 v amplitude and more than 5 ns dura- tion. this level of ground bounce may cause undesired transient behavior on an output, or in the internal logic. this restriction is common to all high-speed digital ics, and is not particular to xilinx or the xc4000 series. xc4000 series devices have a feature called soft start-up, designed to reduce ground bounce when all out- puts are turned on simultaneously at the end of con?gura- tion. when the con?guration process is ?nished and the device starts up, the ?rst activation of the outputs is auto- matically slew-rate limited. immediately following the initial activation of the i/o, the slew rate of the individual outputs is determined by the individual con?guration option for each iob. global three-state a separate global 3-state line (not shown in figure 15 or figure 16 ) forces all fpga outputs to the high-impedance state, unless boundary scan is enabled and is executing an extest instruction. this global net (gts) does not com- pete with other routing resources; it uses a dedicated distri- bution network. gts can be driven from any user-programmable pin as a global 3-state input. to use this global net, place an input pad and input buffer in the schematic or hdl code, driving the gts pin of the startup symbol. a speci?c pin loca- tion can be assigned to this input using a loc attribute or property, just as with any other user-programmable pad. an inverter can optionally be inserted after the input buffer to invert the sense of the global 3-state signal. using gts is similar to gsr. see figure 2 on page 11 for details. alternatively, gts can be driven from any internal node. destination xc4000 series outputs 3.3 v, cmos 5 v, ttl 5 v, cmos any typical device, vcc = 3.3 v, cmos-threshold inputs ?? some 1 1. only if destination device has 5-v tolerant inputs any device, vcc = 5 v, ttl-threshold inputs ??? any device, vcc = 5 v, cmos-threshold inputs unreliable data ? x6702 opad obuft figure 18: open-drain output
r may 14, 1999 (version 1.6) 6-25 xc4000e and xc4000x series field programmable gate arrays 6 output multiplexer/2-input function generator (xc4000x only) as shown in figure 16 on page 21 , the output path in the xc4000x iob contains an additional multiplexer not avail- able in the xc4000e iob. the multiplexer can also be con- ?gured as a 2-input function generator, implementing a pass-gate, and-gate, or-gate, or xor-gate, with 0, 1, or 2 inverted inputs. the logic used to implement these func- tions is shown in the upper gray area of figure 16 . when con?gured as a multiplexer, this feature allows two output signals to time-share the same output pad; effec- tively doubling the number of device outputs without requir- ing a larger, more expensive package. when the mux is con?gured as a 2-input function genera- tor, logic can be implemented within the iob itself. com- bined with a global early buffer, this arrangement allows very high-speed gating of a single signal. for example, a wide decoder can be implemented in clbs, and its output gated with a read or write strobe driven by a bufge buffer, as shown in figure 19 . the critical-path pin-to-pin delay of this circuit is less than 6 nanoseconds. as shown in figure 16 , the iob input pins out, output clock, and clock enable have different delays and different ?exibilities regarding polarity. additionally, output clock sources are more limited than the other inputs. therefore, the xilinx software does not move logic into the iob func- tion generators unless explicitly directed to do so. the user can specify that the iob function generator be used, by placing special library symbols beginning with the letter o. for example, a 2-input and-gate in the iob func- tion generator is called oand2. use the symbol input pin labelled f for the signal on the critical path. this signal is placed on the ok pin the iob input with the shortest delay to the function generator. two examples are shown in figure 20 . other iob options there are a number of other programmable options in the xc4000 series iob. pull-up and pull-down resistors programmable pull-up and pull-down resistors are useful for tying unused pins to vcc or ground to minimize power consumption and reduce noise sensitivity. the con?gurable pull-up resistor is a p-channel transistor that pulls to vcc. the con?gurable pull-down resistor is an n-channel transis- tor that pulls to ground. the value of these resistors is 50 k w- 100 k w . this high value makes them unsuitable as wired-and pull-up resis- tors. the pull-up resistors for most user-programmable iobs are active during the con?guration process. see ta b l e 2 2 o n page 58 for a list of pins with pull-ups active before and dur- ing con?guration. after con?guration, voltage levels of unused pads, bonded or un-bonded, must be valid logic levels, to reduce noise sensitivity and avoid excess current. therefore, by default, unused pads are con?gured with the internal pull-up resis- tor active. alternatively, they can be individually con?gured with the pull-down resistor, or as a driven output, or to be driven by an external source. to activate the internal pull-up, attach the pullup library component to the net attached to the pad. to activate the internal pull-down, attach the pulldown library component to the net attached to the pad. independent clocks separate clock signals are provided for the input and output ?ip-?ops. the clock can be independently inverted for each ?ip-?op within the iob, generating either falling-edge or ris- ing-edge triggered ?ip-?ops. the clock inputs for each iob are independent, except that in the xc4000x, the fast capture latch shares an iob input with the output clock pin. early clock for iobs (xc4000x only) special early clocks are available for iobs. these clocks are sourced by the same sources as the global low-skew buffers, but are separately buffered. they have fewer loads and therefore less delay. the early clock can drive either the iob output clock or the iob input clock, or both. the early clock allows fast capture of input data, and fast clock-to-output on output data. the global early buffers that drive these clocks are described in global nets and buffers (xc4000x only) on page 37 . global set/reset as with the clb registers, the global set/reset signal (gsr) can be used to set or clear the input and output reg- isters, depending on the value of the init attribute or prop- erty. the two ?ip-?ops can be individually con?gured to set ipad f opad fast bufge oand2 from internal logic x9019 figure 19: fast pin-to-pin path in xc4000x oand2 f x6598 d0 s0 d1 o omux2 x6599 figure 20: and & mux symbols in xc4000x iob
r xc4000e and xc4000x series field programmable gate arrays 6-26 may 14, 1999 (version 1.6) or clear on reset and after con?guration. other than the glo- bal gsr net, no user-controlled set/reset signal is available to the i/o ?ip-?ops. the choice of set or clear applies to both the initial state of the ?ip-?op and the response to the global set/reset pulse. see global set/reset on page 11 for a description of how to use gsr. jtag support embedded logic attached to the iobs contains test struc- tures compatible with ieee standard 1149.1 for boundary scan testing, permitting easy chip and board-level testing. more information is provided in boundary scan on page 42 . three-state buffers a pair of 3-state buffers is associated with each clb in the array. (see figure 27 on page 30 .) these 3-state buffers can be used to drive signals onto the nearest horizontal longlines above and below the clb. they can therefore be used to implement multiplexed or bidirectional buses on the horizontal longlines, saving logic resources. programmable pull-up resistors attached to these longlines help to imple- ment a wide wired-and function. the buffer enable is an active-high 3-state (i.e. an active-low enable), as shown in ta b l e 1 3 . another 3-state buffer with similar access is located near each i/o block along the right and left edges of the array. (see figure 33 on page 34 .) the horizontal longlines driven by the 3-state buffers have a weak keeper at each end. this circuit prevents unde?ned ?oating levels. however, it is overridden by any driver, even a pull-up resistor. special longlines running along the perimeter of the array can be used to wire-and signals coming from nearby iobs or from internal longlines. these longlines form the wide edge decoders discussed in wide edge decoders on page 27 . three-state buffer modes the 3-state buffers can be con?gured in three modes: ? standard 3-state buffer ? wired-and with input on the i pin ? wired or-and standard 3-state buffer all three pins are used. place the library element buft. connect the input to the i pin and the output to the o pin. the t pin is an active-high 3-state (i.e. an active-low enable). tie the t pin to ground to implement a standard buffer. wired-and with input on the i pin the buffer can be used as a wired-and. use the wand1 library symbol, which is essentially an open-drain buffer. wand4, wand8, and wand16 are also available. see the xact libraries guide for further information. the t pin is internally tied to the i pin. connect the input to the i pin and the output to the o pin. connect the outputs of all the wand1s together and attach a pullup symbol. wired or-and the buffer can be con?gured as a wired or-and. a high level on either input turns off the output. use the wor2and library symbol, which is essentially an open-drain 2-input or gate. the two input pins are func- tionally equivalent. attach the two inputs to the i0 and i1 pins and tie the output to the o pin. tie the outputs of all the wor2ands together and attach a pullup symbol. three-state buffer examples figure 21 shows how to use the 3-state buffers to imple- ment a wired-and function. when all the buffer inputs are high, the pull-up resistor(s) provide the high output. figure 22 shows how to use the 3-state buffers to imple- ment a multiplexer. the selection is accomplished by the buffer 3-state signal. pay particular attention to the polarity of the t pin when using these buffers in a design. active-high 3-state (t) is identical to an active-low output enable, as shown in ta b l e 1 3 . table 13: three-state buffer functionality in t out x1z in 0 in p u l l u p z = d a l d b l (d c +d d ) l (d e +d f ) d e d f d c d d d b d a wand1 wand1 wor2and wor2and x6465 figure 21: open-drain buffers implement a wired-and function
r may 14, 1999 (version 1.6) 6-27 xc4000e and xc4000x series field programmable gate arrays 6 wide edge decoders dedicated decoder circuitry boosts the performance of wide decoding functions. when the address or data ?eld is wider than the function generator inputs, fpgas need multi-level decoding and are thus slower than pals. xc4000 series clbs have nine inputs. any decoder of up to nine inputs is, therefore, compact and fast. however, there is also a need for much wider decoders, especially for address decoding in large microprocessor systems. an xc4000 series fpga has four programmable decoders located on each edge of the device. the inputs to each decoder are any of the iob i1 signals on that edge plus one local interconnect per clb row or column. each row or col- umn of clbs provides up to three variables or their compli- ments., as shown in figure 23 . each decoder generates a high output (resistor pull-up) when the and condition of the selected inputs, or their complements, is true. this is analogous to a product term in typical pal devices. each of these wired-and gates is capable of accepting up to 42 inputs on the xc4005e and 72 on the xc4013e. there are up to 96 inputs for each decoder on the xc4028x and 132 on the xc4052x. the decoders may also be split in two when a larger number of narrower decoders are required, for a maximum of 32 decoders per device. the decoder outputs can drive clb inputs, so they can be combined with other logic to form a pal-like and/or struc- ture. the decoder outputs can also be routed directly to the chip outputs. for fastest speed, the output should be on the same chip edge as the decoder. very large pals can be emulated by oring the decoder outputs in a clb. this decoding feature covers what has long been considered a weakness of older fpgas. users often resorted to external pals for simple but fast decoding functions. now, the dedi- cated decoders in the xc4000 series device can imple- ment these functions fast and ef?ciently. to use the wide edge decoders, place one or more of the wand library symbols (wand1, wand4, wand8, wand16). attach a decode attribute or property to each wand symbol. tie the outputs together and attach a pul- lup symbol. location attributes or properties such as l (left edge) or tr (right half of top edge) should also be used to ensure the correct placement of the decoder inputs. on-chip oscillator xc4000 series devices include an internal oscillator. this oscillator is used to clock the power-on time-out, for con?g- uration memory clearing, and as the source of cclk in master con?guration modes. the oscillator runs at a nomi- nal 8 mhz frequency that varies with process, vcc, and temperature. the output frequency falls between 4 and 10 mhz. d n d c d b d a abcn z = d a ?a + d b ?b + d c ?c + d n ?n ~100 k w "weak keeper" x6466 buft buft buft buft figure 22: 3-state buffers implement a multiplexer iob iob b a interconnect ( c) ..... (a ?b ?c) ..... (a ?b ?c) ..... (a ?b ?c) ..... .i1 .i1 x2627 c figure 23: xc4000 series edge decoding example f16k f500k f8m f490 f15 x6703 osc4 figure 24: xc4000 series oscillator symbol
r xc4000e and xc4000x series field programmable gate arrays 6-28 may 14, 1999 (version 1.6) the oscillator output is optionally available after con?gura- tion. any two of four resynchronized taps of a built-in divider are also available. these taps are at the fourth, ninth, four- teenth and nineteenth bits of the divider. therefore, if the primary oscillator output is running at the nominal 8 mhz, the user has access to an 8 mhz clock, plus any two of 500 khz, 16khz, 490hz and 15hz (up to 10% lower for low-volt- age devices). these frequencies can vary by as much as -50% or +25%. these signals can be accessed by placing the osc4 library element in a schematic or in hdl code (see figure 24 ). the oscillator is automatically disabled after con?guration if the osc4 symbol is not used in the design. programmable interconnect all internal connections are composed of metal segments with programmable switching points and switching matrices to implement the desired routing. a structured, hierarchical matrix of routing resources is provided to achieve ef?cient automated routing. the xc4000e and xc4000x share a basic interconnect structure. xc4000x devices, however, have additional rout- ing not available in the xc4000e. the extra routing resources allow high utilization in high-capacity devices. all xc4000x-speci?c routing resources are clearly identi?ed throughout this section. any resources not identi?ed as xc4000x-speci?c are present in all xc4000 series devices. this section describes the varied routing resources avail- able in xc4000 series devices. the implementation soft- ware automatically assigns the appropriate resources based on the density and timing requirements of the design. interconnect overview there are several types of interconnect. ? clb routing is associated with each row and column of the clb array. ? iob routing forms a ring (called a versaring) around the outside of the clb array. it connects the i/o with the internal logic blocks. ? global routing consists of dedicated networks primarily designed to distribute clocks throughout the device with minimum delay and skew. global routing can also be used for other high-fanout signals. five interconnect types are distinguished by the relative length of their segments: single-length lines, double-length lines, quad and octal lines (xc4000x only), and longlines. in the xc4000x, direct connects allow fast data ?ow between adjacent clbs, and between iobs and clbs. extra routing is included in the iob pad ring. the xc4000x also includes a ring of octal interconnect lines near the iobs to improve pin-swapping and routing to locked pins. xc4000e/x devices include two types of global buffers. these global buffers have different properties, and are intended for different purposes. they are discussed in detail later in this section. clb routing connections a high-level diagram of the routing resources associated with one clb is shown in figure 25 . the shaded arrows represent routing present only in xc4000x devices. ta b l e 1 4 shows how much routing of each type is available in xc4000e and xc4000x clb arrays. clearly, very large designs, or designs with a great deal of interconnect, will route more easily in the xc4000x. smaller xc4000e designs, typically requiring signi?cantly less interconnect, do not require the additional routing. figure 27 on page 30 is a detailed diagram of both the xc4000e and the xc4000x clb, with associated routing. the shaded square is the programmable switch matrix, present in both the xc4000e and the xc4000x. the l-shaped shaded area is present only in xc4000x devices. as shown in the ?gure, the xc4000x block is essentially an xc4000e block with additional routing. clb inputs and outputs are distributed on all four sides, providing maximum routing ?exibility. in general, the entire architecture is symmetrical and regular. it is well suited to established placement and routing algorithms. inputs, out- puts, and function generators can freely swap positions within a clb to avoid routing congestion during the place- ment and routing operation.
r may 14, 1999 (version 1.6) 6-29 xc4000e and xc4000x series field programmable gate arrays 6 table 14: routing per clb in xc4000 series devices programmable switch matrices the horizontal and vertical single- and double-length lines intersect at a box called a programmable switch matrix (psm). each switch matrix consists of programmable pass transistors used to establish connections between the lines (see figure 26 ). for example, a single-length signal entering on the right side of the switch matrix can be routed to a single-length line on the top, left, or bottom sides, or any combination thereof, if multiple branches are required. similarly, a dou- ble-length signal can be routed to a double-length line on any or all of the other three edges of the programmable switch matrix. single-length lines single-length lines provide the greatest interconnect ?exi- bility and offer fast routing between adjacent blocks. there are eight vertical and eight horizontal single-length lines associated with each clb. these lines connect the switch- ing matrices that are located in every row and a column of clbs. single-length lines are connected by way of the program- mable switch matrices, as shown in figure 28 . routing connectivity is shown in figure 27 . single-length lines incur a delay whenever they go through a switching matrix. therefore, they are not suitable for rout- ing signals for long distances. they are normally used to conduct signals within a localized area and to provide the branching for nets with fanout greater than one. x5994 quad quad single double long direct connect long clb long global clock long double single global clock carry chain direct connect figure 25: high-level routing diagram of xc4000 series clb (shaded arrows indicate xc4000x only) xc4000e xc4000x vertical horizontal vertical horizontal singles 8 8 8 8 doubles 4 4 4 4 quads 0 0 12 12 longlines 6 6 10 6 direct connects 002 2 globals 4 0 8 0 carry logic 2 0 1 0 total 24 18 45 32 six pass transistors per switch matrix interconnect point singles double double singles double double x6600 figure 26: programmable switch matrix (psm)
r xc4000e and xc4000x series field programmable gate arrays 6-30 may 14, 1999 (version 1.6) f1 c1 g1 f2 c2 g2 f3 c3 g3 f4 c4 g4 k x y xq yq long single double long global quad long single double long long double double quad global common to xc4000e and xc4000x xc4000x only programmable switch matrix clb direct feedback direct feedback figure 27: detail of programmable interconnect associated with xc4000 series clb
r may 14, 1999 (version 1.6) 6-31 xc4000e and xc4000x series field programmable gate arrays 6 double-length lines the double-length lines consist of a grid of metal segments, each twice as long as the single-length lines: they run past two clbs before entering a switch matrix. double-length lines are grouped in pairs with the switch matrices stag- gered, so that each line goes through a switch matrix at every other row or column of clbs (see figure 28 ). there are four vertical and four horizontal double-length lines associated with each clb. these lines provide faster signal routing over intermediate distances, while retaining routing ?exibility. double-length lines are connected by way of the programmable switch matrices. routing connectivity is shown in figure 27 . quad lines (xc4000x only) xc4000x devices also include twelve vertical and twelve horizontal quad lines per clb row and column. quad lines are four times as long as the single-length lines. they are interconnected via buffered switch matrices (shown as dia- monds in figure 27 on page 30 ). quad lines run past four clbs before entering a buffered switch matrix. they are grouped in fours, with the buffered switch matrices stag- gered, so that each line goes through a buffered switch matrix at every fourth clb location in that row or column. (see figure 29 .) the buffered switch matrixes have four pins, one on each edge. all of the pins are bidirectional. any pin can drive any or all of the other pins. each buffered switch matrix contains one buffer and six pass transistors. it resembles the programmable switch matrix shown in figure 26 , with the addition of a program- mable buffer. there can be up to two independent inputs and up to two independent outputs. only one of the inde- pendent inputs can be buffered. the place and route software automatically uses the timing requirements of the design to determine whether or not a quad line signal should be buffered. a heavily loaded signal is typically buffered, while a lightly loaded one is not. one scenario is to alternate buffers and pass transistors. this allows both vertical and horizontal quad lines to be buffered at alternating buffered switch matrices. due to the buffered switch matrices, quad lines are very fast. they provide the fastest available method of routing heavily loaded signals for long distances across the device. longlines longlines form a grid of metal interconnect segments that run the entire length or width of the array. longlines are intended for high fan-out, time-critical signal nets, or nets that are distributed over long distances. in xc4000x devices, quad lines are preferred for critical nets, because the buffered switch matrices make them faster for high fan-out nets. two horizontal longlines per clb can be driven by 3-state or open-drain drivers (tbufs). they can therefore imple- ment unidirectional or bidirectional buses, wide multiplex- ers, or wired-and functions. (see three-state buffers on page 26 for more details.) each horizontal longline driven by tbufs has either two (xc4000e) or eight (xc4000x) pull-up resistors. to acti- vate these resistors, attach a pullup symbol to the long-line net. the software automatically activates the appropriate number of pull-ups. there is also a weak keeper at each end of these two horizontal longlines. this clb psm psm psm psm clb clb clb clb clb clb clb clb doubles singles doubles x6601 figure 28: single- and double-length lines, with programmable switch matrices (psms) clb clb clb clb clb clb clb clb clb x9014 figure 29: quad lines (xc4000x only)
r xc4000e and xc4000x series field programmable gate arrays 6-32 may 14, 1999 (version 1.6) circuit prevents unde?ned ?oating levels. however, it is overridden by any driver, even a pull-up resistor. each xc4000e longline has a programmable splitter switch at its center, as does each xc4000x longline driven by tbufs. this switch can separate the line into two indepen- dent routing channels, each running half the width or height of the array. each xc4000x longline not driven by tbufs has a buff- ered programmable splitter switch at the 1/4, 1/2, and 3/4 points of the array. due to the buffering, xc4000x longline performance does not deteriorate with the larger array sizes. if the longline is split, the resulting partial longlines are independent. routing connectivity of the longlines is shown in figure 27 on page 30 . direct interconnect (xc4000x only) the xc4000x offers two direct, ef?cient and fast connec- tions between adjacent clbs. these nets facilitate a data ?ow from the left to the right side of the device, or from the top to the bottom, as shown in figure 30 . signals routed on the direct interconnect exhibit minimum interconnect prop- agation delay and use no general routing resources. the direct interconnect is also present between clbs and adjacent iobs. each iob on the left and top device edges has a direct path to the nearest clb. each clb on the right and bottom edges of the array has a direct path to the near- est two iobs, since there are two iobs for each row or col- umn of clbs. the place and route software uses direct interconnect whenever possible, to maximize routing resources and min- imize interconnect delays. i/o routing xc4000 series devices have additional routing around the iob ring. this routing is called a versaring. the versaring facilitates pin-swapping and redesign without affecting board layout. included are eight double-length lines span- ning two clbs (four iobs), and four longlines. global lines and wide edge decoder lines are provided. xc4000x devices also include eight octal lines. a high-level diagram of the versaring is shown in figure 31 . the shaded arrows represent routing present only in xc4000x devices. figure 33 on page 34 is a detailed diagram of the xc4000e and xc4000x versaring. the area shown includes two iobs. there are two iobs per clb row or column, there- fore this diagram corresponds to the clb routing diagram shown in figure 27 on page 30 . the shaded areas repre- sent routing and routing connections present only in xc4000x devices. octal i/o routing (xc4000x only) between the xc4000x clb array and the pad ring, eight interconnect tracks provide for versatility in pin assignment and ?xed pinout ?exibility. (see figure 32 on page 33 .) these routing tracks are called octals, because they can be broken every eight clbs (sixteen iobs) by a programma- ble buffer that also functions as a splitter switch. the buffers are staggered, so each line goes through a buffer at every eighth clb location around the device edge. the octal lines bend around the corners of the device. the lines cross at the corners in such a way that the segment most recently buffered before the turn has the farthest dis- tance to travel before the next buffer, as shown in figure 32 . clb iob x6603 iob iob iob iob iob iob iob iob iob iob iob iob iob iob iob iob iob iob iob clb clb clb clb clb ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ figure 30: xc4000x direct interconnect
r may 14, 1999 (version 1.6) 6-33 xc4000e and xc4000x series field programmable gate arrays 6 x5995 direct connect edge decode double long global clock octal quad single double long direct connect long interconnect iob wed wed wed iob figure 31: high-level routing diagram of xc4000 series versaring (left edge) wed = wide edge decoder, iob = i/o block (shaded arrows indicate xc4000x only) segment with nearest buffer connects to segment with furthest buffer iob iob iob iob x9015 figure 32: xc4000x octal i/o routing
r xc4000e and xc4000x series field programmable gate arrays 6-34 may 14, 1999 (version 1.6) t o c l b a r r a y ik ok i1 ce i2 decoder t o octal edge decode quad long single double long long double double global ik ok i1 ce i2 t o decoder decoder common to xc4000e and xc4000x xc4000x only iob iob direct figure 33: detail of programmable interconnect associated with xc4000 series iob (left edge)
r may 14, 1999 (version 1.6) 6-35 xc4000e and xc4000x series field programmable gate arrays 6 iob inputs and outputs interface with the octal lines via the single-length interconnect lines. single-length lines are also used for communication between the octals and dou- ble-length lines, quads, and longlines within the clb array. segmentation into buffered octals was found to be optimal for distributing signals over long distances around the device. global nets and buffers both the xc4000e and the xc4000x have dedicated glo- bal networks. these networks are designed to distribute clocks and other high fanout control signals throughout the devices with minimal skew. the global buffers are described in detail in the following sections. the text descriptions and diagrams are summarized in ta b l e 1 5 . the table shows which clb and iob clock pins can be sourced by which global buffers. in both xc4000e and xc4000x devices, placement of a library symbol called bufg results in the software choos- ing the appropriate clock buffer, based on the timing requirements of the design. the detailed information in these sections is included only for reference. global nets and buffers (xc4000e only) four vertical longlines in each clb column are driven exclusively by special global buffers. these longlines are in addition to the vertical longlines used for standard inter- connect. the four global lines can be driven by either of two types of global buffers. the clock pins of every clb and iob can also be sourced from local interconnect. two different types of clock buffers are available in the xc4000e: ? primary global buffers (bufgp) ? secondary global buffers (bufgs) four primary global buffers offer the shortest delay and negligible skew. four secondary global buffers have slightly longer delay and slightly more skew due to poten- tially heavier loading, but offer greater ?exibility when used to drive non-clock clb inputs. the primary global buffers must be driven by the semi-dedicated pads. the secondary global buffers can be sourced by either semi-dedicated pads or internal nets. each clb column has four dedicated vertical global lines. each of these lines can be accessed by one particular pri- mary global buffer, or by any of the secondary global buff- ers, as shown in figure 34 . each corner of the device has one primary buffer and one secondary buffer. iobs along the left and right edges have four vertical global longlines. top and bottom iobs can be clocked from the global lines in the adjacent clb column. a global buffer should be speci?ed for all timing-sensitive global signal distribution. to use a global buffer, place a bufgp (primary buffer), bufgs (secondary buffer), or bufg (either primary or secondary buffer) element in a schematic or in hdl code. if desired, attach a loc attribute or property to direct placement to the designated location. for example, attach a loc=l attribute or property to a bufgs symbol to direct that a buffer be placed in one of the two secondary global buffers on the left edge of the device, or a loc=bl to indicate the secondary global buffer on the bottom edge of the device, on the left. l = left, r = right, t = top, b = bottom table 15: clock pin access xc4000e xc4000x local inter- connect bufgp bufgs bufgls l & r bufge t & b bufge all clbs in quadrant ?????? all clbs in device ??? ? iobs on adjacent vertical half edge ?????? iobs on adjacent vertical full edge ???? ? iobs on adjacent horizontal half edge (direct) ? ? iobs on adjacent horizontal half edge (through clb globals) ?????? iobs on adjacent horizontal full edge (through clb globals) ??? ?
r xc4000e and xc4000x series field programmable gate arrays 6-36 may 14, 1999 (version 1.6) x4 x4 x6604 x4 4 one bufgp per global line one bufgp per global line any bufgs any bufgs bufgp pgck4 sgck4 pgck3 sgck3 bufgs bufgp bufgs iob iob iob iob iob iob iob iob iob iob iob bufgs bufgs bufgp bufgp sgck1 pgck1 sgck2 pgck2 iob x4 locals locals locals locals locals locals locals locals locals locals locals locals 4 4 4 clb clb locals locals clb clb locals locals figure 34: xc4000e global net distribution x4 4 iob clocks clb clocks (per column) clb clocks (per column) clb clocks (per column) clb clocks (per column) locals locals locals locals locals bufgls locals bufgls bufgls bufgls bufgls bufge bufge bufge bufge bufge bufge bufge bufge bufgls bufgls iob iob iob iob iob iob iob iob bufgls bufgls bufgls bufgls gck8 gck7 gck1 gck6 gck2 gck5 gck3 gck4 iob iob iob iob locals bufgls locals bufgls locals locals bufgls locals bufgls iob clocks iob clocks iob clocks 4 x8 x8 x4 x9018 8 8 8 8 8 bufgls locals 8 8 8 8 8 8 8 8 8 clb clb clb clb locals locals locals locals locals locals locals locals x8 x8 x8 x8 figure 35: xc4000x global net distribution
r may 14, 1999 (version 1.6) 6-37 xc4000e and xc4000x series field programmable gate arrays 6 global nets and buffers (xc4000x only) eight vertical longlines in each clb column are driven by special global buffers. these longlines are in addition to the vertical longlines used for standard interconnect. the glo- bal lines are broken in the center of the array, to allow faster distribution and to minimize skew across the whole array. each half-column global line has its own buffered multi- plexer, as shown in figure 35 . the top and bottom global lines cannot be connected across the center of the device, as this connection might introduce unacceptable skew. the top and bottom halves of the global lines must be sepa- rately driven although they can be driven by the same global buffer. the eight global lines in each clb column can be driven by either of two types of global buffers. they can also be driven by internal logic, because they can be accessed by single, double, and quad lines at the top, bottom, half, and quarter points. consequently, the number of different clocks that can be used simultaneously in an xc4000x device is very large. there are four global lines feeding the iobs at the left edge of the device. iobs along the right edge have eight global lines. there is a single global line along the top and bottom edges with access to the iobs. all iob global lines are bro- ken at the center. they cannot be connected across the center of the device, as this connection might introduce unacceptable skew. iob global lines can be driven from two types of global buff- ers, or from local interconnect. alternatively, top and bottom iobs can be clocked from the global lines in the adjacent clb column. two different types of clock buffers are available in the xc4000x: ? global low-skew buffers (bufgls) ? global early buffers (bufge) global low-skew buffers are the standard clock buffers. they should be used for most internal clocking, whenever a large portion of the device must be driven. global early buffers are designed to provide a faster clock access, but clb access is limited to one-fourth of the device. they also facilitate a faster i/o interface. figure 35 is a conceptual diagram of the global net struc- ture in the xc4000x. global early buffers and global low-skew buffers share a single pad. therefore, the same ipad symbol can drive one buffer of each type, in parallel. this con?guration is particu- larly useful when using the fast capture latches, as described in iob input signals on page 20 . paired global early and global low-skew buffers share a common input; they cannot be driven by two different signals. choosing an xc4000x clock buffer the clocking structure of the xc4000x provides a large variety of features. however, it can be simple to use, with- out understanding all the details. the software automati- cally handles clocks, along with all other routing, when the appropriate clock buffer is placed in the design. in fact, if a buffer symbol called bufg is placed, rather than a speci?c type of buffer, the software even chooses the buffer most appropriate for the design. the detailed information in this section is provided for those users who want a ?ner level of control over their designs. if ?ne control is desired, use the following summary and table 15 on page 35 to choose an appropriate clock buffer. ? the simplest thing to do is to use a global low-skew buffer. ? if a faster clock path is needed, try a bufg. the software will ?rst try to use a global low-skew buffer. if timing requirements are not met, a faster buffer will automatically be used. ? if a single quadrant of the chip is suf?cient for the clocked logic, and the timing requires a faster clock than the global low-skew buffer, use a global early buffer. global low-skew buffers each corner of the xc4000x device has two global low-skew buffers. any of the eight global low-skew buff- ers can drive any of the eight vertical global lines in a col- umn of clbs. in addition, any of the buffers can drive any of the four vertical lines accessing the iobs on the left edge of the device, and any of the eight vertical lines accessing the iobs on the right edge of the device. (see figure 36 on page 38 .) iobs at the top and bottom edges of the device are accessed through the vertical global lines in the clb array, as in the xc4000e. any global low-skew buffer can, therefore, access every iob and clb in the device. the global low-skew buffers can be driven by either semi-dedicated pads or internal logic. to use a global low-skew buffer, instantiate a bufgls element in a schematic or in hdl code. if desired, attach a loc attribute or property to direct placement to the desig- nated location. for example, attach a loc=t attribute or property to direct that a bufgls be placed in one of the two global low-skew buffers on the top edge of the device, or a loc=tr to indicate the global low-skew buffer on the top edge of the device, on the right.
r xc4000e and xc4000x series field programmable gate arrays 6-38 may 14, 1999 (version 1.6) global early buffers each corner of the xc4000x device has two global early buffers. the primary purpose of the global early buffers is to provide an earlier clock access than the potentially heavily-loaded global low-skew buffers. a clock source applied to both buffers will result in the global early clock edge occurring several nanoseconds earlier than the glo- bal low-skew buffer clock edge, due to the lighter loading. global early buffers also facilitate the fast capture of device inputs, using the fast capture latches described in iob input signals on page 20 . for fast capture, take a single clock signal, and route it through both a global early buffer and a global low-skew buffer. (the two buffers share an input pad.) use the global early buffer to clock the fast capture latch, and the global low-skew buffer to clock the normal input ?ip-?op or latch, as shown in figure 17 on page 23 . the global early buffers can also be used to provide a fast clock-to-out on device output pins. however, an early clock in the output ?ip-?op iob must be taken into consideration when calculating the internal clock speed for the design. the global early buffers at the left and right edges of the chip have slightly different capabilities than the ones at the top and bottom. refer to figure 37 , figure 38 , and figure 35 on page 36 while reading the following explana- tion. each global early buffer can access the eight vertical glo- bal lines for all clbs in the quadrant. therefore, only one-fourth of the clb clock pins can be accessed. this restriction is in large part responsible for the faster speed of the buffers, relative to the global low-skew buffers. the left-side global early buffers can each drive two of the four vertical lines accessing the iobs on the entire left edge of the device. the right-side global early buffers can each drive two of the eight vertical lines accessing the iobs on the entire right edge of the device. (see figure 37 .) each left and right global early buffer can also drive half of the iobs along either the top or bottom edge of the device, using a dedicated line that can only be accessed through the global early buffers. the top and bottom global early buffers can drive half of the iobs along either the left or right edge of the device, as shown in figure 38 . they can only access the top and bot- tom iobs via the clb global lines. 16 25 3 8 4 7 clb clb clb clb i o b i o b i o b i o b iob iob iob iob x6753 figure 36: any bufgls (gck1 - gck8) can drive any or all clock inputs on the device 16 25 3 8 4 7 clb clb clb clb i o b i o b i o b i o b iob iob iob iob x6751 figure 37: left and right bufges can drive any or all clock inputs in same quadrant or edge (gck1 is shown. gck2, gck5 and gck6 are similar.) 16 25 3 8 4 7 clb clb clb clb i o b i o b i o b i o b iob iob iob iob x6747 figure 38: top and bottom bufges can drive any or all clock inputs in same quadrant (gck8 is shown. gck3, gck4 and gck7 are similar.)
r may 14, 1999 (version 1.6) 6-39 xc4000e and xc4000x series field programmable gate arrays 6 the top and bottom global early buffers are about 1 ns slower clock to out than the left and right global early buff- ers. the global early buffers can be driven by either semi-ded- icated pads or internal logic. they share pads with the glo- bal low-skew buffers, so a single net can drive both global buffers, as described above. to use a global early buffer, place a bufge element in a schematic or in hdl code. if desired, attach a loc attribute or property to direct placement to the designated location. for example, attach a loc=t attribute or property to direct that a bufge be placed in one of the two global early buffers on the top edge of the device, or a loc=tr to indicate the global early buffer on the top edge of the device, on the right. power distribution power for the fpga is distributed through a grid to achieve high noise immunity and isolation between logic and i/o. inside the fpga, a dedicated vcc and ground ring sur- rounding the logic array provides power to the i/o drivers, as shown in figure 39 . an independent matrix of vcc and ground lines supplies the interior logic of the device. this power distribution grid provides a stable supply and ground for all internal logic, providing the external package power pins are all connected and appropriately de-coupled. typically, a 0.1 m f capacitor connected between each vcc pin and the boards ground plane will provide adequate de-coupling. output buffers capable of driving/sinking the speci?ed 12 ma loads under speci?ed worst-case conditions may be capable of driving/sinking up to 10 times as much current under best case conditions. noise can be reduced by minimizing external load capaci- tance and reducing simultaneous output transitions in the same direction. it may also be bene?cial to locate heavily loaded output buffers near the ground pads. the i/o block output buffers have a slew-rate limited mode (default) which should be used where output rise and fall times are not speed-critical. pin descriptions there are three types of pins in the xc4000 series devices: ? permanently dedicated pins ? user i/o pins that can have special functions ? unrestricted user-programmable i/o pins. before and during con?guration, all outputs not used for the con?guration process are 3-stated with a 50 k w - 100 k w pull-up resistor. after con?guration, if an iob is unused it is con?gured as an input with a 50 k w - 100 k w pull-up resistor. xc4000 series devices have no dedicated reset input. any user i/o can be con?gured to drive the global set/reset net, gsr. see global set/reset on page 11 for more information on gsr. xc4000 series devices have no powerdown control input, as the xc3000 and xc2000 families do. the xc3000/xc2000 powerdown control also 3-stated all of the device i/o pins. for xc4000 series devices, use the global 3-state net, gts, instead. this net 3-states all outputs, but does not place the device in low-power mode. see iob output signals on page 23 for more information on gts. device pins for xc4000 series devices are described in ta b l e 1 6 . pin functions during con?guration for each of the seven con?guration modes are summarized in ta bl e 2 2 o n page 58 , in the con?guration timing section. gnd ground and vcc ring for i/o drivers vcc gnd vcc logic power grid x5422 figure 39: xc4000 series power distribution
r xc4000e and xc4000x series field programmable gate arrays 6-40 may 14, 1999 (version 1.6) table 16: pin descriptions pin name i/o during con?g. i/o after con?g. pin description permanently dedicated pins vcc i i eight or more (depending on package) connections to the nominal +5 v supply voltage (+3.3 v for low-voltage devices). all must be connected, and each must be decoupled with a 0.01 - 0.1 m f capacitor to ground. gnd i i eight or more (depending on package type) connections to ground. all must be con- nected. cclk i or o i during configuration, configuration clock (cclk) is an output in master modes or asyn- chronous peripheral mode, but is an input in slave mode and synchronous peripheral mode. after configuration, cclk has a weak pull-up resistor and can be selected as the readback clock. there is no cclk high or low time restriction on xc4000 series de- vices, except during readback. see violating the maximum high and low time spec- ification for the readback clock on page 56 for an explanation of this exception. done i/o o done is a bidirectional signal with an optional internal pull-up resistor. as an output, it indicates the completion of the configuration process. as an input, a low level on done can be configured to delay the global logic initialization and the enabling of outputs. the optional pull-up resistor is selected as an option in the xact step program that cre- ates the configuration bitstream. the resistor is included by default. program i i program is an active low input that forces the fpga to clear its configuration mem- ory. it is used to initiate a configuration cycle. when program goes high, the fpga finishes the current clear cycle and executes another complete clear cycle, before it goes into a wait state and releases init. the program pin has a permanent weak pull-up, so it need not be externally pulled up to vcc. user i/o pins that can have special functions rdy/ busy o i/o during peripheral mode configuration, this pin indicates when it is appropriate to write another byte of data into the fpga. the same status is also available on d7 in asyn- chronous peripheral mode, if a read operation is performed when the device is selected. after configuration, rdy/ busy is a user-programmable i/o pin. rdy/ busy is pulled high with a high-impedance pull-up prior to init going high. rclk o i/o during master parallel configuration, each change on the a0-a17 outputs (a0 - a21 for xc4000x) is preceded by a rising edge on rclk, a redundant output signal. rclk is useful for clocked proms. it is rarely used during configuration. after configuration, rclk is a user-programmable i/o pin. m0, m1, m2 i i (m0), o (m1), i (m2) as mode inputs, these pins are sampled after init goes high to determine the configu- ration mode to be used. after configuration, m0 and m2 can be used as inputs, and m1 can be used as a 3-state output. these three pins have no associated input or output registers. during configuration, these pins have weak pull-up resistors. for the most popular con- figuration mode, slave serial, the mode pins can thus be left unconnected. the three mode inputs can be individually configured with or without weak pull-up or pull-down re- sistors. a pull-down resistor value of 4.7 k w is recommended. these pins can only be used as inputs or outputs when called out by special schematic definitions. to use these pins, place the library components md0, md1, and md2 in- stead of the usual pad symbols. input or output buffers must still be used. tdo o o if boundary scan is used, this pin is the test data output. if boundary scan is not used, this pin is a 3-state output without a register, after configuration is completed. this pin can be user output only when called out by special schematic definitions. to use this pin, place the library component tdo instead of the usual pad symbol. an out- put buffer must still be used.
r may 14, 1999 (version 1.6) 6-41 xc4000e and xc4000x series field programmable gate arrays 6 tdi, tck, tms i i/o or i (jtag) if boundary scan is used, these pins are test data in, test clock, and test mode select inputs respectively. they come directly from the pads, bypassing the iobs. these pins can also be used as inputs to the clb logic after configuration is completed. if the bscan symbol is not placed in the design, all boundary scan functions are inhib- ited once configuration is completed, and these pins become user-programmable i/o. in this case, they must be called out by special schematic definitions. to use these pins, place the library components tdi, tck, and tms instead of the usual pad symbols. in- put or output buffers must still be used. hdc o i/o high during configuration (hdc) is driven high until the i/o go active. it is available as a control output indicating that configuration is not yet completed. after configuration, hdc is a user-programmable i/o pin. ldc o i/o low during configuration ( ldc) is driven low until the i/o go active. it is available as a control output indicating that configuration is not yet completed. after configuration, ldc is a user-programmable i/o pin. init i/o i/o before and during configuration, init is a bidirectional signal. a 1 k w - 10 k w external pull-up resistor is recommended. as an active-low open-drain output, init is held low during the power stabilization and internal clearing of the configuration memory. as an active-low input, it can be used to hold the fpga in the internal wait state before the start of configuration. master mode devices stay in a wait state an additional 30 to 300 m s after init has gone high. during configuration, a low on this output indicates that a configuration data error has occurred. after the i/o go active, init is a user-programmable i/o pin. pgck1 - pgck4 (xc4000e only) weak pull-up i or i/o four primary global inputs each drive a dedicated internal global net with short delay and minimal skew. if not used to drive a global buffer, any of these pins is a user-pro- grammable i/o. the pgck1-pgck4 pins drive the four primary global buffers. any input pad symbol connected directly to the input of a bufgp symbol is automatically placed on one of these pins. sgck1 - sgck4 (xc4000e only) weak pull-up i or i/o four secondary global inputs each drive a dedicated internal global net with short delay and minimal skew. these internal global nets can also be driven from internal logic. if not used to drive a global net, any of these pins is a user-programmable i/o pin. the sgck1-sgck4 pins provide the shortest path to the four secondary global buff- ers. any input pad symbol connected directly to the input of a bufgs symbol is auto- matically placed on one of these pins. gck1 - gck8 (xc4000x only) weak pull-up i or i/o eight inputs can each drive a global low-skew buffer. in addition, each can drive a glo- bal early buffer. each pair of global buffers can also be driven from internal logic, but must share an input signal. if not used to drive a global buffer, any of these pins is a user-programmable i/o. any input pad symbol connected directly to the input of a bufgls or bufge symbol is automatically placed on one of these pins. fclk1 - fclk4 (xc4000xla and xc4000xv only) weak pull-up i or i/o four inputs can each drive a fast clock (fclk) buffer which can deliver a clock signal to any iob clock input in the octant of the die served by the fast clock buffer. two fast clock buffers serve the two iob octants on the left side of the die and the other two fast clock buffers serve the two iob octants on the right side of the die. on each side of the die, one fast clock buffer serves the upper octant and the other serves the lower octant. if not used to drive a fast clock buffer, any of these pins is a user-programmable i/o. table 16: pin descriptions (continued) pin name i/o during con?g. i/o after con?g. pin description
r xc4000e and xc4000x series field programmable gate arrays 6-42 may 14, 1999 (version 1.6) boundary scan the bed of nails has been the traditional method of testing electronic assemblies. this approach has become less appropriate, due to closer pin spacing and more sophisti- cated assembly methods like surface-mount technology and multi-layer boards. the ieee boundary scan standard 1149.1 was developed to facilitate board-level testing of electronic assemblies. design and test engineers can imbed a standard test logic structure in their device to achieve high fault coverage for i/o and internal logic. this structure is easily implemented with a four-pin interface on any boundary scan-compatible ic. ieee 1149.1-compati- ble devices may be serial daisy-chained together, con- nected in parallel, or a combination of the two. the xc4000 series implements ieee 1149.1-compatible bypass, preload/sample and extest boundary scan instructions. when the boundary scan con?guration option is selected, three normal user i/o pins become ded- icated inputs for these functions. another user output pin becomes the dedicated boundary scan output. the details of how to enable this circuitry are covered later in this sec- tion. by exercising these input signals, the user can serially load commands and data into these devices to control the driv- ing of their outputs and to examine their inputs. this method is an improvement over bed-of-nails testing. it avoids the need to over-drive device outputs, and it reduces the user interface to four pins. an optional ?fth pin, a reset for the control logic, is described in the standard but is not implemented in xilinx devices. the dedicated on-chip logic implementing the ieee 1149.1 functions includes a 16-state machine, an instruction regis- ter and a number of data registers. the functional details can be found in the ieee 1149.1 speci?cation and are also discussed in the xilinx application note xapp 017: bound- ary scan in xc4000 devices . figure 40 on page 43 shows a simpli?ed block diagram of the xc4000e input/output block with boundary scan implemented. xc4000x boundary scan logic is identical. cs0, cs1, ws, rs i i/o these four inputs are used in asynchronous peripheral mode. the chip is selected when cs0 is low and cs1 is high. while the chip is selected, a low on write strobe ( ws) loads the data present on the d0 - d7 inputs into the internal data buffer. a low on read strobe ( rs) changes d7 into a status output high if ready, low if busy and drives d0 - d6 high. in express mode, cs1 is used as a serial-enable signal for daisy-chaining. ws and rs should be mutually exclusive, but if both are low simultaneously, the write strobe overrides. after configuration, these are user-programmable i/o pins. a0 - a17 o i/o during master parallel configuration, these 18 output pins address the configuration eprom. after configuration, they are user-programmable i/o pins. a18 - a21 (xc4003xl to xc4085xl) o i/o during master parallel configuration with an xc4000x master, these 4 output pins add 4 more bits to address the configuration eprom. after configuration, they are user-pro- grammable i/o pins. (see master parallel configuration section for additional details.) d0 - d7 i i/o during master parallel and peripheral configuration, these eight input pins receive con- figuration data. after configuration, they are user-programmable i/o pins. din i i/o during slave serial or master serial configuration, din is the serial configuration data input receiving data on the rising edge of cclk. during parallel configuration, din is the d0 input. after configuration, din is a user-programmable i/o pin. dout o i/o during configuration in any mode but express mode, dout is the serial configuration data output that can drive the din of daisy-chained slave fpgas. dout data changes on the falling edge of cclk, one-and-a-half cclk periods after it was received at the din input. in express modefor xc4000e and xc4000x only, dout is the status output that can drive the cs1 of daisy-chained fpgas, to enable and disable downstream devices. after configuration, dout is a user-programmable i/o pin. unrestricted user-programmable i/o pins i/o weak pull-up i/o these pins can be configured to be input and/or output after configuration is completed. before configuration is completed, these pins have an internal high-value pull-up resis- tor (25 k w - 100 k w ) that defines the logic level as high. table 16: pin descriptions (continued) pin name i/o during con?g. i/o after con?g. pin description
r may 14, 1999 (version 1.6) 6-43 xc4000e and xc4000x series field programmable gate arrays 6 figure 41 on page 44 is a diagram of the xc4000 series boundary scan logic. it includes three bits of data register per iob, the ieee 1149.1 test access port controller, and the instruction register with decodes. xc4000 series devices can also be con?gured through the boundary scan logic. see readback on page 55 . data registers the primary data register is the boundary scan register. for each iob pin in the fpga, bonded or not, it includes three bits for in, out and 3-state control. non-iob pins have appropriate partial bit population for in or out only. pr o- gram, cclk and done are not included in the boundary scan register. each extest capture-dr state captures all in, out, and 3-state pins. the data register also includes the following non-pin bits: tdo.t, and tdo.o, which are always bits 0 and 1 of the data register, respectively, and bscant.upd, which is always the last bit of the data register. these three bound- ary scan bits are special-purpose xilinx test signals. the other standard data register is the single ?ip-?op bypass register. it synchronizes data being passed through the fpga to the next downstream boundary scan device. the fpga provides two additional data registers that can be speci?ed using the bscan macro. the fpga provides two user pins (bscan.sel1 and bscan.sel2) which are the decodes of two user instructions. for these instructions, two corresponding pins (bscan.tdo1 and bscan.tdo2) allow user scan data to be shifted out on tdo. the data register clock (bscan.drck) is available for control of test logic which the user may wish to imple- ment with clbs. the nand of tck and run-test-idle is also provided (bscan.idle). figure 40: block diagram of xc4000e iob with boundary scan (some details not shown). xc4000x boundary scan logic is identical. d ec q m m q l rd m delay m m m m input clock ik i - capture i - update global s/r flip-flop/latch invert s/r input data 1 i1 input data 2 i2 x5792 pad v cc slew rate pull up m out sel d ec q rd m m m invert output m m invert s/r ouput clock ok clock enable ouput data o o - update q - capture o - capture boundary scan m extest ts - update ts - capture 3-state ts sd sd ts inv output ts/oe pull down input boundary scan boundary scan
r xc4000e and xc4000x series field programmable gate arrays 6-44 may 14, 1999 (version 1.6) instruction set the xc4000 series boundary scan instruction set also includes instructions to con?gure the device and read back the con?guration data. the instruction set is coded as shown in ta bl e 1 7 . bit sequence the bit sequence within each iob is: in, out, 3-state. the input-only m0 and m2 mode pins contribute only the in bit to the boundary scan i/o data register, while the out- put-only m1 pin contributes all three bits. the ?rst two bits in the i/o data register are tdo.t and tdo.o, which can be used for the capture of internal sig- nals. the ?nal bit is bscant.upd, which can be used to drive an internal net. these locations are primarily used by xilinx for internal testing. from a cavity-up view of the chip (as shown in xde or epic), starting in the upper right chip corner, the boundary scan data-register bits are ordered as shown in figure 42 . the device-speci?c pinout tables for the xc4000 series include the boundary scan locations for each iob pin. bsdl (boundary scan description language) ?les for xc4000 series devices are available on the xilinx ftp site. including boundary scan in a schematic if boundary scan is only to be used during con?guration, no special schematic elements need be included in the sche- matic or hdl code. in this case, the special boundary scan pins tdi, tms, tck and tdo can be used for user func- tions after con?guration. to indicate that boundary scan remain enabled after con?g- uration, place the bscan library symbol and connect the tdi, tms, tck and tdo pad symbols to the appropriate pins, as shown in figure 43 . even if the boundary scan symbol is used in a schematic, the input pins tms, tck, and tdi can still be used as inputs to be routed to internal logic. care must be taken not to force the chip into an undesired boundary scan state by inadvertently applying boundary scan input patterns to these pins. the simplest way to prevent this is to keep tms high, and then apply whatever signal is desired to tdi and tck. d q d q iob iob iob iob iob iob iob iob iob iob iob iob iob m u x bypass register iob iob tdo tdi iob iob iob 1 0 1 0 1 0 1 0 1 0 sd le dq d q d q 1 0 1 0 1 0 1 0 dq le sd sd le dq sd le dq iob d q 1 0 dq le sd iob.t data in iob.i iob.q iob.t iob.i shift/ capture clock data register dataout update extest x9016 instruction register figure 41: xc4000 series boundary scan logic
r may 14, 1999 (version 1.6) 6-45 xc4000e and xc4000x series field programmable gate arrays 6 table 17: boundary scan instructions avoiding inadvertent boundary scan if tms or tck is used as user i/o, care must be taken to ensure that at least one of these pins is held constant dur- ing con?guration. in some applications, a situation may occur where tms or tck is driven during con?guration. this may cause the device to go into boundary scan mode and disrupt the con?guration process. to prevent activation of boundary scan during con?gura- tion, do either of the following: ? tms: tie high to put the test access port controller in a benign reset state ? tck: tie high or lowdon't toggle this clock input. for more information regarding boundary scan, refer to the xilinx application note xapp 017.001, boundary scan in xc4000e devices . con?guration con?guration is the process of loading design-speci?c pro- gramming data into one or more fpgas to de?ne the func- tional operation of the internal blocks and their interconnections. this is somewhat like loading the com- mand registers of a programmable peripheral chip. xc4000 series devices use several hundred bits of con?guration data per clb and its associated interconnects. each con- ?guration bit de?nes the state of a static memory cell that controls either a function look-up table bit, a multiplexer input, or an interconnect pass transistor. the xact step development system translates the design into a netlist ?le. it automatically partitions, places and routes the logic and generates the con?guration data in prom format. special purpose pins three con?guration mode pins (m2, m1, m0) are sampled prior to con?guration to determine the con?guration mode. after con?guration, these pins can be used as auxiliary connections. m2 and m0 can be used as inputs, and m1 can be used as an output. the xact step development sys- tem does not use these resources unless they are explicitly speci?ed in the design entry. this is done by placing a spe- cial pad symbol called md2, md1, or md0 instead of the input or output pad symbol. in xc4000 series devices, the mode pins have weak pull-up resistors during con?guration. with all three mode pins high, slave serial mode is selected, which is the most popular con?guration mode. therefore, for the most com- mon con?guration mode, the mode pins can be left uncon- nected. (note, however, that the internal pull-up resistor value can be as high as 100 k w .) after con?guration, these pins can individually have weak pull-up or pull-down resis- tors, as speci?ed in the design. a pull-down resistor value of 4.7 k w is recommended. these pins are located in the lower left chip corner and are near the readback nets. this location allows convenient routing if compatibility with the xc2000 and xc3000 family conventions of m0/rt, m1/rd is desired. instruction i2 i1 i0 test selected tdo source i/o data source 0 0 0 extest dr dr 0 0 1 sample/pr eload dr pin/logic 0 1 0 user 1 bscan. tdo1 user logic 0 1 1 user 2 bscan. tdo2 user logic 1 0 0 readback readback data pin/logic 1 0 1 configure dout disabled 1 1 0 reserved 1 1 1 bypass bypass register bit 0 ( tdo end) bit 1 bit 2 tdo.t tdo.o top-edge iobs (right to left) left-edge iobs (top to bottom) md1.t md1.o md1.i md0.i md2.i bottom-edge iobs (left to right) right-edge iobs (bottom to top) b scant.upd (tdi end) x6075 figure 42: boundary scan bit sequence tdi tms tck tdo1 tdo2 tdo drck idle sel1 sel2 tdi tms tck tdo bscan to user logic ibuf optional from user logic to user logic x2675 figure 43: boundary scan schematic example
r xc4000e and xc4000x series field programmable gate arrays 6-46 may 14, 1999 (version 1.6) con?guration modes xc4000e devices have six con?guration modes. xc4000x devices have the same six modes, plus an additional con- ?guration mode. these modes are selected by a 3-bit input code applied to the m2, m1, and m0 inputs. there are three self-loading master modes, two peripheral modes, and a serial slave mode, which is used primarily for daisy-chained devices. the coding for mode selection is shown in ta bl e 1 8 . a detailed description of each con?guration mode, with tim- ing information, is included later in this data sheet. during con?guration, some of the i/o pins are used temporarily for the con?guration process. all pins used during con?gura- tion are shown in table 22 on page 58 . master modes the three master modes use an internal oscillator to gener- ate a con?guration clock (cclk) for driving potential slave devices. they also generate address and timing for exter- nal prom(s) containing the con?guration data. master parallel (up or down) modes generate the cclk signal and prom addresses and receive byte parallel data. the data is internally serialized into the fpga data-frame format. the up and down selection generates starting addresses at either zero or 3ffff (3fffff when 22 address lines are used), for compatibility with different microprocessor addressing conventions. the master serial mode generates cclk and receives the con?guration data in serial form from a xilinx serial-con?guration prom. cclk speed is selectable as either 1 mhz (default) or 8 mhz. con?guration always starts at the default slow fre- quency, then can switch to the higher frequency during the ?rst frame. frequency tolerance is -50% to +25%. additional address lines in xc4000 devices the xc4000x devices have additional address lines (a18-a21) allowing the additional address space required to daisy-chain several large devices. the extra address lines are programmable in xc4000ex devices. by default these address lines are not activated. in the default mode, the devices are compatible with existing xc4000 and xc4000e products. if desired, the extra address lines can be used by specifying the address lines option in bitgen as 22 (bitgen -g addresslines:22). the lines (a18-a21) are driven when a master device detects, via the bitstream, that it should be using all 22 address lines. because these pins will initially be pulled high by internal pull-ups, designers using master parallel up mode should use external pull down resistors on pins a18-a21. if master parallel down mode is used external resistors are not necessary. all 22 address lines are always active in master parallel modes with xc4000xl devices. the additional address lines behave identically to the lower order address lines. if the address lines option in bitgen is set to 18, it will be ignored by the xc4000xl device. the additional address lines (a18-a21) are not available in the pc84 package. peripheral modes the two peripheral modes accept byte-wide data from a bus. a rdy/ b usy status is available as a handshake sig- nal. in asynchronous peripheral mode, the internal oscilla- tor generates a cclk burst signal that serializes the byte-wide data. cclk can also drive slave devices. in the synchronous mode, an externally supplied clock input to cclk serializes the data. slave serial mode in slave serial mode, the fpga receives serial con?gura- tion data on the rising edge of cclk and, after loading its con?guration, passes additional data out, resynchronized on the next falling edge of cclk. multiple slave devices with identical con?gurations can be wired with parallel din inputs. in this way, multiple devices can be con?gured simultaneously. serial daisy chain multiple devices with different con?gurations can be con- nected together in a daisy chain, and a single combined bitstream used to con?gure the chain of slave devices. to con?gure a daisy chain of devices, wire the cclk pins of all devices in parallel, as shown in figure 51 on page 60 . connect the dout of each device to the din of the next. the lead or master fpga and following slaves each passes resynchronized con?guration data coming from a single source. the header data, including the length count, table 18: con?guration modes mode m2 m1 m0 cclk data master serial 0 0 0 output bit-serial slave serial 1 1 1 input bit-serial master parallel up 1 0 0 output byte-wide, increment from 00000 master parallel down 1 1 0 output byte-wide, decrement from 3ffff peripheral synchronous* 0 1 1 input byte-wide peripheral asynchronous 1 0 1 output byte-wide reserved 0 1 0 reserved 0 0 1 * can be considered byte-wide slave parallel
r may 14, 1999 (version 1.6) 6-47 xc4000e and xc4000x series field programmable gate arrays 6 is passed through and is captured by each fpga when it recognizes the 0010 preamble. following the length-count data, each fpga outputs a high on dout until it has received its required number of data frames. after an fpga has received its con?guration data, it passes on any additional frame start bits and con?guration data on dout. when the total number of con?guration clocks applied after memory initialization equals the value of the 24-bit length count, the fpgas begin the start-up sequence and become operational together. fpga i/o are normally released two cclk cycles after the last con?gura- tion bit is received. figure 47 on page 53 shows the start-up timing for an xc4000 series device. the daisy-chained bitstream is not simply a concatenation of the individual bitstreams. the prom ?le formatter must be used to combine the bitstreams for a daisy-chained con- ?guration. multi-family daisy chain all xilinx fpgas of the xc2000, xc3000, and xc4000 series use a compatible bitstream format and can, there- fore, be connected in a daisy chain in an arbitrary sequence. there is, however, one limitation. the lead device must belong to the highest family in the chain. if the chain contains xc4000 series devices, the master nor- mally cannot be an xc2000 or xc3000 device. the reason for this rule is shown in figure 47 on page 53 . since all devices in the chain store the same length count value and generate or receive one common sequence of cclk pulses, they all recognize length-count match on the same cclk edge, as indicated on the left edge of figure 47 . the master device then generates additional cclk pulses until it reaches its ?nish point f. the different families generate or require different numbers of additional cclk pulses until they reach f. not reaching f means that the device does not really ?nish its con?guration, although done may have gone high, the outputs became active, and the internal reset was released. for the xc4000 series device, not reaching f means that readback cannot be ini- tiated and most boundary scan instructions cannot be used. the user has some control over the relative timing of these events and can, therefore, make sure that they occur at the proper time and the ?nish point f is reached. timing is con- trolled using options in the bitstream generation software. xc3000 master with an xc4000 series slave some designers want to use an inexpensive lead device in peripheral mode and have the more precious i/o pins of the xc4000 series devices all available for user i/o. figure 44 provides a solution for that case. this solution requires one clb, one iob and pin, and an internal oscillator with a frequency of up to 5 mhz as a clock source. the xc3000 master device must be con?g- ured with late internal reset, which is the default option. one clb and one iob in the lead xc3000-family device are used to generate the additional cclk pulse required by the xc4000 series devices. when the lead device removes the internal reset signal, the 2-bit shift register responds to its clock input and generates an active low output signal for the duration of the subsequent clock period. an external connection between this output and cclk thus creates the extra cclk pulse. output connected to cclk oe/t 0 1 1 0 0 . . 0 0 1 1 1 . . reset x5223 etc active low output active high output figure 44: cclk generation for xc3000 master driving an xc4000 series slave
r xc4000e and xc4000x series field programmable gate arrays 6-48 may 14, 1999 (version 1.6) setting cclk frequency for master modes, cclk can be generated in either of two frequencies. in the default slow mode, the frequency ranges from 0.5 mhz to 1.25 mhz for xc4000e and xc4000ex devices and from 0.6 mhz to 1.8 mhz for xc4000xl devices. in fast cclk mode, the frequency ranges from 4 mhz to 10 mhz for xc4000ex devices and from 5 mhz to 15 mhz for xc4000xl devices. the fre- quency is selected by an option when running the bitstream generation software. if an xc4000 series master is driving an xc3000- or xc2000-family slave, slow cclk mode must be used. in addition, an xc4000xl device driving a xc4000e or xc4000ex should use slow mode. slow mode is the default. table 19: xc4000 series data stream formats data stream format the data stream (bitstream) format is identical for all con- ?guration modes. the data stream formats are shown in ta b l e 1 9 . bit-serial data is read from left to right, and byte-parallel data is effec- tively assembled from this serial bitstream, with the ?rst bit in each byte assigned to d0. the con?guration data stream begins with a string of eight ones, a preamble code, followed by a 24-bit length count and a separator ?eld of ones. this header is followed by the actual con?guration data in frames. the length and number of frames depends on the device type (see ta b l e 2 0 and ta b l e 2 1 ). each frame begins with a start ?eld and ends with an error check. a postamble code is required to signal the end of data for a single device. in all cases, additional start-up bytes of data are required to provide four clocks for the startup sequence at the end of con?guration. long daisy chains require additional startup bytes to shift the last data through the chain. all startup bytes are dont-cares; these bytes are not included in bitstreams created by the xilinx software. a selection of crc or non-crc error checking is allowed by the bitstream generation software. the non-crc error checking tests for a designated end-of-frame ?eld for each frame. for crc error checking, the software calculates a running crc and inserts a unique four-bit partial check at the end of each frame. the 11-bit crc check of the last frame of an fpga includes the last seven data bits. detection of an error results in the suspension of data load- ing and the pulling down of the init pin. in master modes, cclk and address signals continue to operate externally. the user must detect init and initialize a new con?guration by pulsing the pr ogram pin low or cycling vcc. data type all other modes (d0...) fill byte 11111111b preamble code 0010b length count count(23:0) fill bits 1111b start field 0b data frame data(n-1:0) crc or constant field check xxxx (crc) or 0110b extend write cycle postamble 01111111b start-up bytes xxh legend: not shaded once per bitstream light once per data frame dark once per device
r may 14, 1999 (version 1.6) 6-49 xc4000e and xc4000x series field programmable gate arrays 6 notes: 1. bits per frame = (10 x number of rows) + 7 for the top + 13 for the bottom + 1 + 1 start bit + 4 error check bits number of frames = (36 x number of columns) + 26 for the left edge + 41 for the right edge + 1 program data = (bits per frame x number of frames) + 8 postamble bits prom size = program data + 40 (header) + 8 2. the user can add more one bits as leading dummy bits in the header, or, if crc = off, as trailing dummy bits at the end of any frame, following the four error check bits. however, the length count value must be adjusted for all such extra one bits, even for extra leading ones at the beginning of the header. cyclic redundancy check (crc) for con?guration and readback the cyclic redundancy check is a method of error detec- tion in data transmission applications. generally, the trans- mitting system performs a calculation on the serial bitstream. the result of this calculation is tagged onto the data stream as additional check bits. the receiving system performs an identical calculation on the bitstream and com- pares the result with the received checksum. each data frame of the con?guration bitstream has four error bits at the end, as shown in ta b l e 1 9 . if a frame data error is detected during the loading of the fpga, the con- ?guration process with a potentially corrupted bitstream is terminated. the fpga pulls the init pin low and goes into a wait state. during readback, 11 bits of the 16-bit checksum are added to the end of the readback data stream. the checksum is computed using the crc-16 ccitt polynomial, as shown in figure 45 . the checksum consists of the 11 most signif- icant bits of the 16-bit code. a change in the checksum indi- cates a change in the readback bitstream. a comparison to a previous checksum is meaningful only if the readback data is independent of the current device state. clb out- puts should not be included (read capture option not table 20: xc4000e program data device xc4003e xc4005e xc4006e xc4008e xc4010e xc4013e xc4020e xc4025e max logic gates 3,000 5,000 6,000 8,000 10,000 13,000 20,000 25,000 clbs (row x col.) 100 (10 x 10) 196 (14 x 14) 256 (16 x 16) 324 (18 x 18) 400 (20 x 20) 576 (24 x 24) 784 (28 x 28) 1,024 (32 x 32) iobs 80 112 128 144 160 192 224 256 flip-flops 360 616 768 936 1,120 1,536 2,016 2,560 bits per frame 126 166 186 206 226 266 306 346 frames 428 572 644 716 788 932 1,076 1,220 program data 53,936 94,960 119,792 147,504 178,096 247,920 329,264 422,128 prom size (bits) 53,984 95,008 119,840 147,552 178,144 247,968 329,312 422,176 table 21: xc4000ex/xl program data device xc4002xl xc4005 xc4010 xc4013 xc4020 xc4028 xc4036 xc4044 xc4052 xc4062 xc4085 max logic gates 2,000 5,000 10,000 13,000 20,000 28,000 36,000 44,000 52,000 62,000 85,000 clbs (row x column) 64 (8 x 8) 196 (14 x 14) 400 (20 x 20) 576 (24 x 24) 784 (28 x 28) 1,024 (32 x 32) 1,296 (36 x 36) 1,600 (40 x 40) 1,936 (44 x 44) 2,304 (48 x 48) 3,136 (56 x 56) iobs 64 112 160 192 224 256 288 320 352 384 448 flip-flops 256 616 1,120 1,536 2,016 2,560 3,168 3,840 4,576 5,376 7,168 bits per frame 133 205 277 325 373 421 469 517 565 613 709 frames 459 741 1,023 1,211 1,399 1,587 1,775 1,963 2,151 2,339 2,715 program data 61,052 151,910 283,376 393,580 521,832 668,124 832,480 1,014,876 1,215,320 1,433,804 1,924,940 prom size (bits) 61,104 151,960 283,424 393,632 521,880 668,172 832,528 1,014,924 1,215,368 1,433,852 1,924,992 notes: 1. bits per frame = (13 x number of rows) + 9 for the top + 17 for the bottom + 8 + 1 start bit + 4 error check bits. frames = (47 x number of columns) + 27 for the left edge + 52 for the right edge + 4. program data = (bits per frame x number of frames) + 5 postamble bits. prom size = (program data + 40 header bits + 8 start bits) rounded up to the nearest byte. 2. the user can add more one bits as leading dummy bits in the header, or, if crc = off, as trailing dummy bits at the end of any frame, following the four error check bits. however, the length count value must be adjusted for all such extra one bits, even for extra leading ones at the beginning of the header.
r xc4000e and xc4000x series field programmable gate arrays 6-50 may 14, 1999 (version 1.6) used), and if ram is present, the ram content must be unchanged. statistically, one error out of 2048 might go undetected. con?guration sequence there are four major steps in the xc4000 series power-up con?guration sequence. ? con?guration memory clear ? initialization ? con?guration ? start-up the full process is illustrated in figure 46 . con?guration memory clear when power is ?rst applied or is reapplied to an fpga, an internal circuit forces initialization of the con?guration logic. when vcc reaches an operational level, and the circuit passes the write and read test of a sample pair of con?gu- ration bits, a time delay is started. this time delay is nomi- nally 16 ms, and up to 10% longer in the low-voltage devices. the delay is four times as long when in master modes (m0 low), to allow ample time for all slaves to reach a stable vcc. when all init pins are tied together, as rec- ommended, the longest delay takes precedence. there- fore, devices with different time delays can easily be mixed and matched in a daisy chain. this delay is applied only on power-up. it is not applied when re-con?guring an fpga by pulsing the pr ogram pin 0 x2 2 3456789101112 13 14 1 x15 x16 15 serial data in 1 0 151413121110 9 8 7 65 1 1 1 1 crc ?checksum last data frame start bit x1789 polynomial: x16 + x15 + x2 + 1 readback data stream figure 45: circuit for generating crc-16 init high? if master sample mode lines load one configuration data frame frame error pass configuration data to dout v cc >3.5 v no yes yes no no yes operational start-up sequence no yes ~1.3 m s per frame master waits 50 to 250 s before sampling mode lines master cclk goes active f pull init low and stop x6076 extest* sample/preload bypass configure* (* if program = high) sample/preload bypass extest sample preload bypass user 1 user 2 configure readback if boundary scan is selected config- uration memory full cclk count equals length count completely clear configuration memory once more ldc output = l, hdc output = h boundary scan instructions available: i/o active keep clearing configuration memory test m0 generate one time-out pulse of 16 or 64 ms program = low no yes yes figure 46: power-up con?guration sequence
r may 14, 1999 (version 1.6) 6-51 xc4000e and xc4000x series field programmable gate arrays 6 low. during this time delay, or as long as the pr ogram input is asserted, the con?guration logic is held in a con?g- uration memory clear state. the con?guration-memory frames are consecutively initialized, using the internal oscil- lator. at the end of each complete pass through the frame addressing, the power-on time-out delay circuitry and the level of the pr ogram pin are tested. if neither is asserted, the logic initiates one additional clearing of the con?gura- tion frames and then tests the init input. initialization during initialization and con?guration, user pins hdc, ldc, init and done provide status outputs for the system inter- face. the outputs ldc, init and done are held low and hdc is held high starting at the initial application of power. the open drain init pin is released after the ?nal initializa- tion pass through the frame addresses. there is a deliber- ate delay of 50 to 250 m s (up to 10% longer for low-voltage devices) before a master-mode device recognizes an inac- tive init. two internal clocks after the init pin is recognized as high, the fpga samples the three mode lines to deter- mine the con?guration mode. the appropriate interface lines become active and the con?guration preamble and data can be loaded.con?guration the 0010 preamble code indicates that the following 24 bits represent the length count. the length count is the total number of con?guration clocks needed to load the com- plete con?guration data. (four additional con?guration clocks are required to complete the con?guration process, as discussed below.) after the preamble and the length count have been passed through to all devices in the daisy chain, dout is held high to prevent frame start bits from reaching any daisy-chained devices. a speci?c con?guration bit, early in the ?rst frame of a mas- ter device, controls the con?guration-clock rate and can increase it by a factor of eight. therefore, if a fast con?gu- ration clock is selected by the bitstream, the slower clock rate is used until this con?guration bit is detected. each frame has a start ?eld followed by the frame-con?gu- ration data bits and a frame error ?eld. if a frame data error is detected, the fpga halts loading, and signals the error by pulling the open-drain init pin low. after all con?gura- tion frames have been loaded into an fpga, dout again follows the input data so that the remaining data is passed on to the next device. delaying con?guration after power-up there are two methods of delaying con?guration after power-up: put a logic low on the pr ogram input, or pull the bidirectional init pin low, using an open-collector (open-drain) driver. (see figure 46 on page 50 .) a low on the pr ogram input is the more radical approach, and is recommended when the power-supply rise time is excessive or poorly de?ned. as long as pr o- gram is low, the fpga keeps clearing its con?guration memory. when pr ogram goes high, the con?guration memory is cleared one more time, followed by the begin- ning of con?guration, provided the init input is not exter- nally held low. note that a low on the pr ogram input automatically forces a low on the init output. the xc4000 series pr ogram pin has a permanent weak pull-up. using an open-collector or open-drain driver to hold init low before the beginning of con?guration causes the fpga to wait after completing the con?guration memory clear operation. when init is no longer held low exter- nally, the device determines its con?guration mode by cap- turing its mode pins, and is ready to start the con?guration process. a master device waits up to an additional 250 m s to make sure that any slaves in the optional daisy chain have seen that init is high. start-up start-up is the transition from the con?guration process to the intended user operation. this transition involves a change from one clock source to another, and a change from interfacing parallel or serial con?guration data where most outputs are 3-stated, to normal operation with i/o pins active in the user-system. start-up must make sure that the user-logic wakes up gracefully, that the outputs become active without causing contention with the con?guration sig- nals, and that the internal ?ip-?ops are released from the global reset or set at the right time. figure 47 describes start-up timing for the three xilinx fam- ilies in detail. the con?guration modes can use any of the four timing sequences. to access the internal start-up signals, place the startup library symbol. start-up timing different fpga families have different start-up sequences. the xc2000 family goes through a ?xed sequence. done goes high and the internal global reset is de-activated one cclk period after the i/o become active. the xc3000a family offers some ?exibility. done can be programmed to go high one cclk period before or after the i/o become active. independent of done, the internal global reset is de-activated one cclk period before or after the i/o become active. the xc4000 series offers additional ?exibility. the three events done going high, the internal set/reset being de-activated, and the user i/o going active can all occur in any arbitrary sequence. each of them can occur one cclk period before or after, or simultaneous with, any of the others. this relative timing is selected by means of soft- ware options in the bitstream generation software.
r xc4000e and xc4000x series field programmable gate arrays 6-52 may 14, 1999 (version 1.6) the default option, and the most practical one, is for done to go high ?rst, disconnecting the con?guration data source and avoiding any contention when the i/os become active one clock later. reset/set is then released another clock period later to make sure that user-operation starts from stable internal conditions. this is the most common sequence, shown with heavy lines in figure 47 , but the designer can modify it to meet particular requirements. normally, the start-up sequence is controlled by the internal device oscillator output (cclk), which is asynchronous to the system clock. xc4000 series offers another start-up clocking option, uclk_nosync. the three events described above need not be triggered by cclk. they can, as a con?guration option, be triggered by a user clock. this means that the device can wake up in synchronism with the user system. when the uclk_sync option is enabled, the user can externally hold the open-drain done output low, and thus stall all further progress in the start-up sequence until done is released and has gone high. this option can be used to force synchronization of several fpgas to a com- mon user clock, or to guarantee that all devices are suc- cessfully con?gured before any i/os go active. if either of these two options is selected, and no user clock is speci?ed in the design or attached to the device, the chip could reach a point where the con?guration of the device is complete and the done pin is asserted, but the outputs do not become active. the solution is either to recreate the bit- stream specifying the start-up clock as cclk, or to supply the appropriate user clock. start-up sequence the start-up sequence begins when the con?guration memory is full, and the total number of con?guration clocks received since init went high equals the loaded value of the length count. the next rising clock edge sets a ?ip-?op q0, shown in figure 48 . q0 is the leading bit of a 5-bit shift register. the outputs of this register can be programmed to control three events. ? the release of the open-drain done output ? the change of con?guration-related pins to the user function, activating all iobs. ? the termination of the global set/reset initialization of all clb and iob storage elements. the done pin can also be wire-anded with done pins of other fpgas or with other external signals, and can then be used as input to bit q3 of the start-up register. this is called start-up timing synchronous to done in and is selected by either cclk_sync or uclk_sync. when done is not used as an input, the operation is called start-up timing not synchronous to done in, and is selected by either cclk_nosync or uclk_nosync. as a con?guration option, the start-up control register beyond q0 can be clocked either by subsequent cclk pulses or from an on-chip user net called startup.clk. these signals can be accessed by placing the startup library symbol. start-up from cclk if cclk is used to drive the start-up, q0 through q3 pro- vide the timing. heavy lines in figure 47 show the default timing, which is compatible with xc2000 and xc3000 devices using early done and late reset. the thin lines indicate all other possible timing options.
r may 14, 1999 (version 1.6) 6-53 xc4000e and xc4000x series field programmable gate arrays 6 xc4000e/x uclk_sync xc4000e/x uclk_nosync xc4000e/x cclk_sync xc4000e/x cclk_nosync xc3000 xc2000 cclk gsr active uclk period done in done in di di+1 di+2 di di+1 di+2 u2 u3 u4 u2 u3 u4 u2 u3 u4 c1 synchronization uncertainty di di+1 di di+1 done i/o gsr active done i/o gsr active done c1 c2 c1 u2 c3 c4 c2 c3 c4 c2 c3 c4 i/o gsr active done i/o done global reset i/o done global reset i/o f = finished, no more configuration clocks needed daisy-chain lead device must have latest f heavy lines describe default timing cclk period length count match f f f f f f x9024 c1, c2 or c3 figure 47: start-up timing
r xc4000e and xc4000x series field programmable gate arrays 6-54 may 14, 1999 (version 1.6) start-up from a user clock (startup.clk) when, instead of cclk, a user-supplied start-up clock is selected, q1 is used to bridge the unknown phase relation- ship between cclk and the user clock. this arbitration causes an unavoidable one-cycle uncertainty in the timing of the rest of the start-up sequence. done goes high to signal end of con?guration xc4000 series devices read the expected length count from the bitstream and store it in an internal register. the length count varies according to the number of devices and the composition of the daisy chain. each device also counts the number of cclks during con?guration. two conditions have to be met in order for the done pin to go high: ? the chip's internal memory must be full, and ? the con?guration length count must be met, exactly . this is important because the counter that determines when the length count is met begins with the very ?rst cclk, not the ?rst one after the preamble. therefore, if a stray bit is inserted before the preamble, or the data source is not ready at the time of the ?rst cclk, the internal counter that holds the number of cclks will be one ahead of the actual number of data bits read. at the end of con?guration, the con?guration memory will be full, but the number of bits in the internal counter will not match the expected length count. as a consequence, a master mode device will continue to send out cclks until the internal counter turns over to zero, and then reaches the correct length count a second time. this will take several seconds [2 24 * cclk period] which is sometimes interpreted as the device not con?gur- ing at all. if it is not possible to have the data ready at the time of the ?rst cclk, the problem can be avoided by increasing the number in the length count by the appropriate value. the xact user guide includes detailed information about man- ually altering the length count. note that done is an open-drain output and does not go high unless an internal pull-up is activated or an external pull-up is attached. the internal pull-up is activated as the default by the bitstream generation software. release of user i/o after done goes high by default, the user i/o are released one cclk cycle after the done pin goes high. if cclk is not clocked after done goes high, the outputs remain in their initial state 3-stated, with a 50 k w - 100 k w pull-up. the delay from done high to active user i/o is controlled by an option to the bitstream generation software. release of global set/reset after done goes high by default, global set/reset (gsr) is released two cclk cycles after the done pin goes high. if cclk is not clocked twice after done goes high, all ?ip-?ops are held in their initial set or reset state. the delay from done high to gsr inactive is controlled by an option to the bitstream generation software. con?guration complete after done goes high three full cclk cycles are required after the done pin goes high, as shown in figure 47 on page 53 . if cclk is not clocked three times after done goes high, readback cannot be initiated and most boundary scan instructions cannot be used. con?guration through the boundary scan pins xc4000 series devices can be con?gured through the boundary scan pins. the basic procedure is as follows: ? power up the fpga with init held low (or drive the pr ogram pin low for more than 300 ns followed by a high while holding init low). holding init low allows enough time to issue the config command to the fpga. the pin can be used as i/o after con?guration if a resistor is used to hold init low. ? issue the config command to the tms input ? wait for init to go high ? sequence the boundary scan test access port to the shift-dr state ? toggle tck to clock data into tdi pin. the user must account for all tck clock cycles after init goes high, as all of these cycles affect the length count compare. for more detailed information, refer to the xilinx application note xapp017, boundary scan in xc4000 devices . this application note also applies to xc4000e and xc4000x devices.
r may 14, 1999 (version 1.6) 6-55 xc4000e and xc4000x series field programmable gate arrays 6 readback the user can read back the content of con?guration mem- ory and the level of certain internal nodes without interfer- ing with the normal operation of the device. readback not only reports the downloaded con?guration bits, but can also include the present state of the device, represented by the content of all ?ip-?ops and latches in clbs and iobs, as well as the content of function genera- tors used as rams. note that in xc4000 series devices, con?guration data is not inverted with respect to con?guration as it is in xc2000 and xc3000 families. xc4000 series readback does not use any dedicated pins, but uses four internal nets (rdbk.trig, rdbk.data, rdbk.rip and rdbk.clk) that can be routed to any iob. to access the internal readback signals, place the read- back library symbol and attach the appropriate pad sym- bols, as shown in figure 49 . after readback has been initiated by a high level on rdbk.trig after con?guration, the rdbk.rip (read in progress) output goes high on the next rising edge of rdbk.clk. subsequent rising edges of this clock shift out readback data on the rdbk.data net. readback data does not include the preamble, but starts with ?ve dummy bits (all high) followed by the start bit (low) of the ?rst frame. the ?rst two data bits of the ?rst frame are always high. each frame ends with four error check bits. they are read back as high. the last seven bits of the last frame are also read back as high. an additional start bit (low) and an 11-bit cyclic redundancy check (crc) signature follow, before rdbk.rip returns low. done * * * * ** qs r 1 0 0 1 1 0 1 0 1 0 0 1 gsr enable gsr invert startup.gsr startup.gts gts invert gts enable controlled by startup symbol in the user schematic (see libraries guide) global set/reset of all clb and iob flip-flop iobs operational per configuration global 3-state of all iobs q2 q3 q1/q4 done in startup q0 q1 q2 q3 q4 m m " finished " enables boundary scan, readback and controls the oscillator k sq k dq k dq k dq k dq full length count clear memory cclk startup.clk user net configuration bit options selected by user in "makebits" x1528 figure 48: start-up logic
r xc4000e and xc4000x series field programmable gate arrays 6-56 may 14, 1999 (version 1.6) readback options readback options are: read capture, read abort, and clock select. they are set with the bitstream generation software. read capture when the read capture option is selected, the readback data stream includes sampled values of clb and iob sig- nals. the rising edge of rdbk.trig latches the inverted values of the four clb outputs, the iob output ?ip-?ops and the input signals i1 and i2. note that while the bits describ- ing con?guration (interconnect, function generators, and ram content) are not inverted, the clb and iob output sig- nals are inverted. when the read capture option is not selected, the values of the capture bits re?ect the con?guration data originally written to those memory locations. if the ram capability of the clbs is used, ram data are available in readback, since they directly overwrite the f and g function-table con?guration of the clb. rdbk.trig is located in the lower-left corner of the device, as shown in figure 50 . read abort when the read abort option is selected, a high-to-low transition on rdbk.trig terminates the readback opera- tion and prepares the logic to accept another trigger. after an aborted readback, additional clocks (up to one readback clock per con?guration frame) may be required to re-initialize the control logic. the status of readback is indi- cated by the output control net rdbk.rip. rdbk.rip is high whenever a readback is in progress. clock select cclk is the default clock. however, the user can insert another clock on rdbk.clk. readback control and data are clocked on rising edges of rdbk.clk. if readback must be inhibited for security reasons, the readback control nets are simply not connected. rdbk.clk is located in the lower right chip corner, as shown in figure 50 . violating the maximum high and low time speci?cation for the readback clock the readback clock has a maximum high and low time speci?cation. in some cases, this speci?cation cannot be met. for example, if a processor is controlling readback, an interrupt may force it to stop in the middle of a readback. this necessitates stopping the clock, and thus violating the speci?cation. the speci?cation is mandatory only on clocking data at the end of a frame prior to the next start bit. the transfer mech- anism will load the data to a shift register during the last six clock cycles of the frame, prior to the start bit of the follow- ing frame. this loading process is dynamic, and is the source of the maximum high and low time requirements. therefore, the speci?cation only applies to the six clock cycles prior to and including any start bit, including the clocks before the ?rst start bit in the readback data stream. at other times, the frame data is already in the register and the register is not dynamic. thus, it can be shifted out just like a regular shift register. the user must precisely calculate the location of the read- back data relative to the frame. the system must keep track of the position within a data frame, and disable interrupts before frame boundaries. frame lengths and data formats are listed in ta b l e 1 9 , ta bl e 2 0 and ta bl e 2 1 . readback with the xchecker cable the xchecker universal download/readback cable and logic probe uses the readback feature for bitstream veri?- cation. it can also display selected internal signals on the pc or workstation screen, functioning as a low-cost in-cir- cuit emulator. readback data rip trig clk read_data obuf md1 md0 read_trigger ibuf x1786 if unconnected, default is cclk figure 49: readback schematic example i/o i/o i/o rdbk programmable interconnect rdclk i/o i/o x1787 trig data rip i figure 50: readback symbol in graphical editor
r may 14, 1999 (version 1.6) 6-57 xc4000e and xc4000x series field programmable gate arrays 6 xc4000e/ex/xl program readback switching characteristic guidelines testing of the switching parameters is modeled after testing methods speci?ed by mil-m-38510/605. all devices are 100% functionally tested. internal timing parameters are not measured directly. they are derived from benchmark timing patterns that are taken at device introduction, prior to any process improvements. the following guidelines re?ect worst-case values over the recommended operating conditions. note 1: timing parameters apply to all speed grades. note 2: if rdbk.trig is high prior to finished, finished will trigger the ?rst readback. note 1: timing parameters apply to all speed grades. note 2: if rdbk.trig is high prior to finished, finished will trigger the ?rst readback. rtrc t rcrt t rcrt t 2 2 rcl t 4 rcrr t 6 rch t 5 rcrd t 7 dummy dummy rdbk.data rdbk.rip rdclk.i rdbk.trig finished internal net valid x1790 valid 1 rtrc t 1 e/ex description symbol min max units rdbk.trig rdbk.trig setup to initiate and abort readback rdbk.trig hold to initiate and abort readback 1 2 t rtrc t rcrt 200 50 - - ns ns rdclk.1 rdbk.data delay rdbk.rip delay high time low time 7 6 5 4 t rcrd t rcrr t rch t rcl - - 250 250 250 250 500 500 ns ns ns ns xl description symbol min max units rdbk.trig rdbk.trig setup to initiate and abort readback rdbk.trig hold to initiate and abort readback 1 2 t rtrc t rcrt 200 50 - - ns ns rdclk.1 rdbk.data delay rdbk.rip delay high time low time 7 6 5 4 t rcrd t rcrr t rch t rcl - - 250 250 250 250 500 500 ns ns ns ns
r xc4000e and xc4000x series field programmable gate arrays 6-58 may 14, 1999 (version 1.6) table 22: pin functions during con?guration configuration mode slave serial <1:1:1> master serial <0:0:0> synch. peripheral <0:1:1> asynch. peripheral <1:0:1> master parallel down <1:1:0> master parallel up <1:0:0> user operation m2(high) (i) m2(low) (i) m2(low) (i) m2(high) (i) m2(high) (i) m2(high) (i) (i) m1(high) (i) m1(low) (i) m1(high) (i) m1(low) (i) m1(high) (i) m1(low) (i) (o) m0(high) (i) m0(low) (i) m0(high) (i) m0(high) (i) m0(low) (i) m0(low) (i) (i) hdc (high) hdc (high) hdc (high) hdc (high) hdc (high) hdc (high) i/o ldc (low) ldc (low) ldc (low) ldc (low) ldc (low) ldc (low) i/o init init init init init init i/o done done done done done done done pr ogram (i) pr ogram (i) pr ogram (i) pr ogram (i) pr ogram (i) pr ogram (i) pr ogram cclk (i) cclk (o) cclk (i) cclk (o) cclk (o) cclk (o) cclk (i) rdy/ b usy (o) rdy/ b usy (o) rclk (o) rclk (o) i/o rs (i) i/o cs0 (i) i/o data 7 (i) data 7 (i) data 7 (i) data 7 (i) i/o data 6 (i) data 6 (i) data 6 (i) data 6 (i) i/o data 5 (i) data 5 (i) data 5 (i) data 5 (i) i/o data 4 (i) data 4 (i) data 4 (i) data 4 (i) i/o data 3 (i) data 3 (i) data 3 (i) data 3 (i) i/o data 2 (i) data 2 (i) data 2 (i) data 2 (i) i/o data 1 (i) data 1 (i) data 1 (i) data 1 (i) i/o din (i) din (i) data 0 (i) data 0 (i) data 0 (i) data 0 (i) i/o dout dout dout dout dout dout sgck4-gck5-i/o tdi tdi tdi tdi tdi tdi tdi-i/o tck tck tck tck tck tck tck-i/o tms tms tms tms tms tms tms-i/o tdo tdo tdo tdo tdo tdo tdo-(o) ws (i) a0 a0 i/o a1 a1 pgck4-gck6-i/o cs1 a2 a2 i/o a3 a3 i/o a4 a4 i/o a5 a5 i/o a6 a6 i/o a7 a7 i/o a8 a8 i/o a9 a9 i/o a10 a10 i/o a11 a11 i/o a12 a12 i/o a13 a13 i/o a14 a14 i/o a15 a15 sgck1-gck7-i/o a16 a16 pgck1-gck8-i/o a17 a17 i/o a18* a18* i/o a19* a19* i/o a20* a20* i/o a21* a21* i/o all others
r may 14, 1999 (version 1.6) 6-59 xc4000e and xc4000x series field programmable gate arrays 6 table 23: pin functions during con?guration configuration mode slave serial <1:1:1> master serial <0:0:0> synch. peripheral <0:1:1> asynch. peripheral <1:0:1> master parallel down <1:1:0> master parallel up <1:0:0> user operation m2(high) (i) m2(low) (i) m2(low) (i) m2(high) (i) m2(high) (i) m2(high) (i) (i) m1(high) (i) m1(low) (i) m1(high) (i) m1(low) (i) m1(high) (i) m1(low) (i) (o) m0(high) (i) m0(low) (i) m0(high) (i) m0(high) (i) m0(low) (i) m0(low) (i) (i) hdc (high) hdc (high) hdc (high) hdc (high) hdc (high) hdc (high) i/o ldc (low) ldc (low) ldc (low) ldc (low) ldc (low) ldc (low) i/o init init init init init init i/o done done done done done done done pr ogram (i) pr ogram (i) pr ogram (i) pr ogram (i) pr ogram (i) pr ogram (i) pr ogram cclk (i) cclk (o) cclk (i) cclk (o) cclk (o) cclk (o) cclk (i) rdy/ b usy (o) rdy/ b usy (o) rclk (o) rclk (o) i/o rs (i) i/o cs0 (i) i/o data 7 (i) data 7 (i) data 7 (i) data 7 (i) i/o data 6 (i) data 6 (i) data 6 (i) data 6 (i) i/o data 5 (i) data 5 (i) data 5 (i) data 5 (i) i/o data 4 (i) data 4 (i) data 4 (i) data 4 (i) i/o data 3 (i) data 3 (i) data 3 (i) data 3 (i) i/o data 2 (i) data 2 (i) data 2 (i) data 2 (i) i/o data 1 (i) data 1 (i) data 1 (i) data 1 (i) i/o din (i) din (i) data 0 (i) data 0 (i) data 0 (i) data 0 (i) i/o dout dout dout dout dout dout sgck4-gck5-i/o tdi tdi tdi tdi tdi tdi tdi-i/o tck tck tck tck tck tck tck-i/o tms tms tms tms tms tms tms-i/o tdo tdo tdo tdo tdo tdo tdo-(o) ws (i) a0 a0 i/o a1 a1 pgck4-gck6-i/o cs1 a2 a2 i/o a3 a3 i/o a4 a4 i/o a5 a5 i/o a6 a6 i/o a7 a7 i/o a8 a8 i/o a9 a9 i/o a10 a10 i/o a11 a11 i/o a12 a12 i/o a13 a13 i/o a14 a14 i/o a15 a15 sgck1-gck7-i/o a16 a16 pgck1-gck8-i/o a17 a17 i/o a18* a18* i/o a19* a19* i/o a20* a20* i/o a21* a21* i/o all others * xc4000x only notes 1. a shaded table cell represents a 50 k w - 100 k w pull-up before and during con?guration. 2. (i) represents an input; (o) represents an output. 3. init is an open-drain output during con?guration.
r xc4000e and xc4000x series field programmable gate arrays 6-60 may 14, 1999 (version 1.6) con?guration timing the seven con?guration modes are discussed in detail in this section. timing speci?cations are included. slave serial mode in slave serial mode, an external signal drives the cclk input of the fpga. the serial con?guration bitstream must be available at the din input of the lead fpga a short setup time before each rising cclk edge. the lead fpga then presents the preamble dataand all data that over?ows the lead deviceon its dout pin. there is an internal delay of 0.5 cclk periods, which means that dout changes on the falling cclk edge, and the next fpga in the daisy chain accepts data on the sub- sequent rising cclk edge. figure 51 shows a full master/slave system. an xc4000 series device in slave serial mode should be connected as shown in the third device from the left. slave serial mode is selected by a <111> on the mode pins (m2, m1, m0). slave serial is the default mode if the mode pins are left unconnected, as they have weak pull-up resis- tors during con?guration. figure 52: slave serial mode programming switching characteristics xc4000e/x master serial xc4000e/x, xc5200 slave xc3100a slave xc1700d program note : m2, m1, m0 can be shorted to ground if not used as i/o note : m2, m1, m0 can be shorted to v cc if not used as i/o m2 m0 m1 dout cclk clk v cc +5 v data ce ceo vpp reset/oe done din ldc init init done program program d/p init reset cclk din cclk din dout dout m2 m0 m1 m1 pwrdn m0 m2 (low reset option used) 4.7 k 4.7 k 4.7 k 4.7 k 4.7 k 4.7 k 4.7 k v cc x9025 n/c n/c figure 51: master/slave serial mode circuit diagram 4 t cch bit n bit n + 1 bit n bit n - 1 3 t cco 5 t ccl 2 t ccd 1 t dcc din cclk dout (output) x5379 description symbol min max units cclk din setup 1 t dcc 20 ns din hold 2 t ccd 0ns din to dout 3 t cco 30 ns high time 4 t cch 45 ns low time 5 t ccl 45 ns frequency f cc 10 mhz note: con?guration must be delayed until the init pins of all daisy-chained fpgas are high.
r may 14, 1999 (version 1.6) 6-61 xc4000e and xc4000x series field programmable gate arrays 6 master serial mode in master serial mode, the cclk output of the lead fpga drives a xilinx serial prom that feeds the fpga din input. each rising edge of the cclk output increments the serial prom internal address counter. the next data bit is put on the sprom data output, connected to the fpga din pin. the lead fpga accepts this data on the subsequent rising cclk edge. the lead fpga then presents the preamble dataand all data that over?ows the lead deviceon its dout pin. there is an internal pipeline delay of 1.5 cclk periods, which means that dout changes on the falling cclk edge, and the next fpga in the daisy chain accepts data on the subsequent rising cclk edge. in the bitstream generation software, the user can specify fast con?grate, which, starting several bits into the ?rst frame, increases the cclk frequency by a factor of eight. for actual timing values please refer to con?guration switching characteristics on page 68 . be sure that the serial prom and slaves are fast enough to support this data rate. xc2000, xc3000/a, and xc3100a devices do not support the fast con?grate option. the sprom ce input can be driven from either ldc or done. using ldc avoids potential contention on the din pin, if this pin is con?gured as user-i/o, but ldc is then restricted to be a permanently high user output after con- ?guration. using done can also avoid contention on din, provided the early done option is invoked. figure 51 on page 60 shows a full master/slave system. the leftmost device is in master serial mode. master serial mode is selected by a <000> on the mode pins (m2, m1, m0). figure 53: master serial mode programming switching characteristics description symbol min max units cclk din setup 1 t dsck 20 ns din hold 2 t ckds 0ns notes: 1. at power-up, vcc must rise from 2.0 v to vcc min in less than 25 ms, otherwise delay con?guration by pulling pr ogram low until vcc is valid. 2. master serial mode timing is based on testing in slave mode. serial data in cclk (output) serial dout (output) 1 t dsck 2 t ckds n n + 1 n + 2 n ?3 n ?2 n ?1 n x3223
r xc4000e and xc4000x series field programmable gate arrays 6-62 may 14, 1999 (version 1.6) master parallel modes in the two master parallel modes, the lead fpga directly addresses an industry-standard byte-wide eprom, and accepts eight data bits just before incrementing or decre- menting the address outputs. the eight data bits are serialized in the lead fpga, which then presents the preamble dataand all data that over- ?ows the lead deviceon its dout pin. there is an inter- nal delay of 1.5 cclk periods, after the rising cclk edge that accepts a byte of data (and also changes the eprom address) until the falling cclk edge that makes the lsb (d0) of this byte appear at dout. this means that dout changes on the falling cclk edge, and the next fpga in the daisy chain accepts data on the subsequent rising cclk edge. the prom address pins can be incremented or decre- mented, depending on the mode pin settings. this option allows the fpga to share the prom with a wide variety of microprocessors and micro controllers. some processors must boot from the bottom of memory (all zeros) while oth- ers must boot from the top. the fpga is ?exible and can load its con?guration bitstream from either end of the mem- ory. master parallel up mode is selected by a <100> on the mode pins (m2, m1, m0). the eprom addresses start at 00000 and increment. master parallel down mode is selected by a <110> on the mode pins. the eprom addresses start at 3ffff and decrement. additional address lines in xc4000 devices the xc4000x devices have additional address lines (a18-a21) allowing the additional address space required to daisy-chain several large devices. the extra address lines are programmable in xc4000ex devices. by default these address lines are not activated. in the default mode, the devices are compatible with existing xc4000 and xc4000e products. if desired, the extra address lines can be used by specifying the address lines option in bitgen as 22 (bitgen -g addresslines:22). the lines (a18-a21) are driven when a master device detects, via the bitstream, that it should be using all 22 address lines. because these pins will initially be pulled high by internal pull-ups, designers using master parallel up mode should use external pull down resistors on pins a18-a21. if master parallel down mode is used external resistors are not necessary. all 22 address lines are always active in master parallel modes with xc4000xl devices. the additional address lines behave identically to the lower order address lines. if the address lines option in bitgen is set to 18, it will be ignored by the xc4000xl device. the additional address lines (a18-a21) are not available in the pc84 package. m0 m1 dout vcc m2 program d7 d6 d5 d4 d3 d2 d1 d0 program cclk din m0 m1 m2 dout program eprom (8k x 8) (or larger) a10 a11 a12 a9 a8 a7 a6 a5 a4 a3 a2 a1 a0 d7 done d6 d5 d4 d3 d2 d1 d0 n/c n/c ce oe xc4000e/x slave 8 data bus cclk a15 a14 a13 a12 a11 a10 a9 a8 a7 a6 a5 a4 a3 a2 a1 a0 init init . . . . . . . . . user control of higher order prom address bits can be used to select between alternative configurations done to din of optional daisy-chained fpgas a16 . . . a17 . . . high or low x9026 to cclk of optional daisy-chained fpgas 4.7k 4.7k note:m0 can be shorted to ground if not used as i/o. figure 54: master parallel mode circuit diagram
r may 14, 1999 (version 1.6) 6-63 xc4000e and xc4000x series field programmable gate arrays 6 figure 55: master parallel mode programming switching characteristics address for byte n byte 2 t drc address for byte n + 1 d7 d6 a0-a17 (output) d0-d7 rclk (output) cclk (output) dout (output) 1 t rac 7 cclks cclk 3 t rcd byte n - 1 x6078 description symbol min max units rclk delay to address valid 1 t rac 0 200 ns data setup time 2 t drc 60 ns data hold time 3 t rcd 0ns notes: 1. at power-up, vcc must rise from 2.0 v to vcc min in less than 25 ms, otherwise delay con?guration by pulling pr ogram low until vcc is valid. 2. the ?rst data byte is loaded and cclk starts at the end of the ?rst rclk active cycle (rising edge). this timing diagram shows that the eprom requirements are extremely relaxed. eprom access time can be longer than 500 ns. eprom data output has no hold-time requirements.
r xc4000e and xc4000x series field programmable gate arrays 6-64 may 14, 1999 (version 1.6) synchronous peripheral mode synchronous peripheral mode can also be considered slave parallel mode. an external signal drives the cclk input(s) of the fpga(s). the ?rst byte of parallel con?gura- tion data must be available at the data inputs of the lead fpga a short setup time before the rising cclk edge. subsequent data bytes are clocked in on every eighth con- secutive rising cclk edge. the same cclk edge that accepts data, also causes the rdy/ b usy output to go high for one cclk period. the pin name is a misnomer. in synchronous peripheral mode it is really an acknowledge signal. synchronous operation does not require this response, but it is a meaningful signal for test purposes. note that rdy/ b usy is pulled high with a high-impedance pullup prior to init going high. the lead fpga serializes the data and presents the pre- amble data (and all data that over?ows the lead device) on its dout pin. there is an internal delay of 1.5 cclk peri- ods, which means that dout changes on the falling cclk edge, and the next fpga in the daisy chain accepts data on the subsequent rising cclk edge. in order to complete the serial shift operation, 10 additional cclk rising edges are required after the last data byte has been loaded, plus one more cclk cycle for each daisy-chained device. synchronous peripheral mode is selected by a <011> on the mode pins (m2, m1, m0). x9027 control signals data bus program dout m0 m1 m2 d 0-7 init done program 4.7 k 4.7 k 4.7 k rdy/busy v cc optional daisy-chained fpgas note: m2 can be shorted to ground if not used as i/o cclk clock program dout xc4000e/x slave xc4000e/x synchro- nous peripheral m0 m1 n/c 8 m2 din init done cclk n/c figure 56: synchronous peripheral mode circuit diagram
r may 14, 1999 (version 1.6) 6-65 xc4000e and xc4000x series field programmable gate arrays 6 figure 57: synchronous peripheral mode programming switching characteristics 0 dout cclk 1 2 345 6 7 byte 0 byte 1 byte 0 out byte 1 out rdy/busy init 1 0 x6096 description symbol min max units cclk init (high) setup time t ic 5 m s d0 - d7 setup time t dc 60 ns d0 - d7 hold time t cd 0ns cclk high time t cch 50 ns cclk low time t ccl 60 ns cclk frequency f cc 8 mhz notes: 1. peripheral synchronous mode can be considered slave parallel mode. an external cclk provides timing, clocking in the ?rst data byte on the second rising edge of cclk after init goes high. subsequent data bytes are clocked in on every eighth consecutive rising edge of cclk. 2. the rdy/ b usy line goes high for one cclk period after data has been clocked in, although synchronous operation does not require such a response. 3. the pin name rdy/ b usy is a misnomer. in synchronous peripheral mode this is really an acknowledge signal. 4. note that data starts to shift out serially on the dout pin 0.5 cclk periods after it was loaded in parallel. therefore, additional cclk pulses are clearly required after the last byte has been loaded.
r xc4000e and xc4000x series field programmable gate arrays 6-66 may 14, 1999 (version 1.6) asynchronous peripheral mode write to fpga asynchronous peripheral mode uses the trailing edge of the logic and condition of ws and cs0 being low and rs and cs1 being high to accept byte-wide data from a micro- processor bus. in the lead fpga, this data is loaded into a double-buffered uart-like parallel-to-serial converter and is serially shifted into the internal logic. the lead fpga presents the preamble data (and all data that over?ows the lead device) on its dout pin. the rdy/ b usy output from the lead fpga acts as a hand- shake signal to the microprocessor. rdy/ b usy goes low when a byte has been received, and goes high again when the byte-wide input buffer has transferred its information into the shift register, and the buffer is ready to receive new data. a new write may be started immediately, as soon as the rdy/ b usy output has gone low, acknowledging receipt of the previous data. write may not be terminated until rdy/ b usy is high again for one cclk period. note that rdy/ b usy is pulled high with a high-impedance pull-up prior to init going high. the length of the b usy signal depends on the activity in the uart. if the shift register was empty when the new byte was received, the b usy signal lasts for only two cclk periods. if the shift register was still full when the new byte was received, the b usy signal can be as long as nine cclk periods. note that after the last byte has been entered, only seven of its bits are shifted out. cclk remains high with dout equal to bit 6 (the next-to-last bit) of the last byte entered. the ready/ b usy handshake can be ignored if the delay from any one write to the end of the next write is guaran- teed to be longer than 10 cclk periods. status read the logic and condition of the cs0, cs1and rs inputs puts the device status on the data bus. ? d7 high indicates ready ? d7 low indicates busy ? d0 through d6 go unconditionally high it is mandatory that the whole start-up sequence be started and completed by one byte-wide input. otherwise, the pins used as write strobe or chip enable might become active outputs and interfere with the ?nal byte transfer. if this transfer does not occur, the start-up sequence is not com- pleted all the way to the ?nish (point f in figure 47 on page 53 ). in this case, at worst, the internal reset is not released. at best, readback and boundary scan are inhibited. the length-count value, as generated by the xact step soft- ware, ensures that these problems never occur. although rdy/ b usy is brought out as a separate signal, microprocessors can more easily read this information on one of the data lines. for this purpose, d7 represents the rdy/ b usy status when rs is low, ws is high, and the two chip select lines are both active. asynchronous peripheral mode is selected by a <101> on the mode pins (m2, m1, m0). address bus data bus address decode logic cs0 ... rdy/busy ws program d0? cclk dout din m2 m0 m1 n/c n/c n/c rs cs1 control signals init reprogram optional daisy-chained fpgas v cc done 8 x9028 4.7 k 4.7 k 4.7 k 4.7 k xc4000e/x asynchro- nous peripheral program cclk dout m2 m0 m1 init done xc4000e/x slave figure 58: asynchronous peripheral mode circuit diagram
r may 14, 1999 (version 1.6) 6-67 xc4000e and xc4000x series field programmable gate arrays 6 figure 59: asynchronous peripheral mode programming switching characteristics previous byte d6 d7 d0 d1 d2 1 t ca 2 t dc 4 t wtrb 3 t cd 6 t busy ready busy rs, cs0 ws, cs1 d7 ws/cs0 rs, cs1 d0-d7 cclk rdy/busy dout write to lca read status x6097 7 4 description symbol min max units write effective write time ( cs0, ws=low; rs, cs1=high) 1t ca 100 ns din setup time 2 t dc 60 ns din hold time 3 t cd 0ns rdy rdy/ busy delay after end of write or read 4t wtrb 60 ns rdy/ busy active after beginning of read 760ns rdy/ busy low output (note 4) 6 t busy 2 9 cclk periods notes: 1. con?guration must be delayed until the init pins of all daisy-chained fpgas are high. 2. the time from the end of ws to cclk cycle for the new byte of data depends on the completion of previous byte processing and the phase of the internal timing generator for cclk. 3. cclk and dout timing is tested in slave mode. 4. t busy indicates that the double-buffered parallel-to-serial converter is not yet ready to receive new data. the shortest t busy occurs when a byte is loaded into an empty parallel-to-serial converter. the longest t busy occurs when a new word is loaded into the input register before the second-level buffer has started shifting out data this timing diagram shows very relaxed requirements. data need not be held beyond the rising edge of ws .rdy/ b usy will go active within 60 ns after the end of ws . a new write may be asserted immediately after rdy/ b usy goes low, but write may not be terminated until rdy/ b usy has been high for one cclk period.
r xc4000e and xc4000x series field programmable gate arrays 6-68 may 14, 1999 (version 1.6) con?guration switching characteristics master modes (xc4000e/ex) master modes (xc4000xl) slave and peripheral modes (all) description symbol min max units power-on reset m0 = high t por 10 40 ms m0 = low t por 40 130 ms program latency t pi 30 200 m s per clb column cclk (output) delay t icck 40 250 m s cclk (output) period, slow t cclk 640 2000 ns cclk (output) period, fast t cclk 80 250 ns description symbol min max units power-on reset m0 = high t por 10 40 ms m0 = low t por 40 130 ms program latency t pi 30 200 m s per clb column cclk (output) delay t icck 40 250 m s cclk (output) period, slow t cclk 540 1600 ns cclk (output) period, fast t cclk 67 200 ns description symbol min max units power-on reset t por 10 33 ms program latency t pi 30 200 m s per clb column cclk (input) delay (required) t icck 4 m s cclk (input) period (required) t cclk 100 ns valid program init vcc pi t por t icck t cclk t cclk output or input m0, m1, m2 done response <300 ns <300 ns >300 ns re-program x1532 (required) i/o
r may 14, 1999 (version 1.6) 6-69 xc4000e and xc4000x series field programmable gate arrays 6 product availability ta b l e 2 4 , ta b l e 2 5 , and ta bl e 2 6 show the planned packages and speed grades for xc4000-series devices. call your local sales of?ce for the latest availability information, or see the xilinx w eb linx at http://www.xilinx.com for the latest revision of the speci?cations. table 24: component availability chart for xc4000xl fpgas pins 84 100 100 144 144 160 160 176 176 208 208 240 240 256 299 304 352 411 432 475 559 560 type plast. plcc plast. pqfp plast. vqfp plast. tqfp high-perf. tqfp high-perf. qfp plast. pqfp plast. tqfp high-perf. tqfp high-perf. qfp plast. pqfp high-perf. qfp plast. pqfp plast. bga ceram. pga high-perf. qfp plast. bga ceram. pga plast. bga ceram. pga ceram. pga plast. bga code pc84 pq100 vq100 tq144 ht144 hq160 pq160 tq176 ht176 hq208 pq208 hq240 pq240 bg256 pg299 hq304 bg352 pg411 bg432 pg475 pg559 bg560 xc4002xl -3 c i c i c i -2 c i c i c i -1 c i c i c i -09c ccc xc4005xl -3 c ic ic ic i c i c i -2 c i c c i c i c i c i -1 c i c i c i c i c i c i -09c cccc c c xc4010xl -3 c i c i c i c i c i c i c i -2 c i c i c i c i c i c i c i -1 c i c i c i c i c i c i c i -09c c c c c c c c xc4013xl -3 c i c i c i c i c i c i -2 c i c i c i c i c i c i -1 c i c i c i c i c i c i -09c cccccc -08c cccccc xc4020xl -3 c i c i c i c i c i c i -2 c i c i c i c i c i c i -1 c i c i c i c i c i c i -09c cccccc xc4028xl -3 c i c i c i c i c i c i c i -2 c i c i c i c i c i c i c i -1 c i c i c i c i c i c i c i -09c c c c cccc xc4036xl -3 c i c i c i c i c i c i c i -2 c i c i c c i c i c i c i -1 c i c i c i c i c i c i c i -09c ccccccc -08c ccccccc xc4044xl -3 c i c i c i c i c i c i c i -2 c i c i c i c i c i c i c i -1 c i c i c i c i c i c i c i -09c ccccccc xc4052xl -3 c i c i c i c i c i -2 c i c i c i c i c i -1 c i c i c i c i c i -09c ccccc xc4062xl -3 c i c i c i c i c i -2 c i c i c i c i c i -1 c i c i c i c i c i -09c ccccc -08c ccccc xc4085xl -3 c i c i c i -2 c i c i c i -1 c i c i c i -09c ccc 1/29/99 c = commercial t j = 0 to +85 c i= industrial t j = -40 c to +100 c
r xc4000e and xc4000x series field programmable gate arrays 6-70 may 14, 1999 (version 1.6) table 25: component availability chart for xc4000e fpgas pins 84 100 100 120 144 156 160 191 208 208 223 225 240 240 299 304 type plast. plcc plast. pqfp plast. vqfp ceram. pga plast. tqfp ceram. pga plast. pqfp ceram. pga high-perf. qfp plast. pqfp ceram. pga plast. bga high-perf. qfp plast. pqfp ceram. pga high-perf. qf code pc84 pq100 vq100 pg120 tq144 pg156 pq160 pg191 hq208 pq208 pg223 bg225 hq240 pq240 pg299 hq304 xc4003e -4 c i c i c i c i -3 c i c i c i c i -2 c i c i c i c i -1cccc xc4005e -4 c i c i c i c i c i c i -3 c i c i c i c i c i c i -2 c i c i c i c i c i c i -1cc ccc c xc4006e -4 c i c i c i c i c i -3 c i c i c i c i c i -2 c i c i c i c i c i -1c ccc c xc4008e -4 c i c i c i c i -3 c i c i c i c i -2 c i c i c i c i -1 c c c c xc4010e -4 c i c i c i c i c i c i -3 c i c i c i c i c i c i -2 c i c i c i c i c i c i -1c cccc c xc4013e -4 c i c i c i c i c i c i c i -3 c i c i c i c i c i c i c i -2 c i c i c i c i c i c i c i -1 c cccccc xc4020e -4 c i c i c i -3 c i c i c i -2 c i c i c i -1 ccc xc4025e -4 c i c i c i c i -3 c i c i c i c i -2 cccc 1/29/99 c = commercial t j = 0 to +85 c i= industrial t j = -40 c to +100 c table 26: component availability chart for xc4000ex fpgas pins 208 240 299 304 352 411 432 type high-perf. qfp high-perf. qfp ceram. pga high-perf. qfp plast. bga ceram. pga plast. bga code hq208 hq240 pg299 hq304 bg352 pg411 bg432 xc4028ex -4 c i c i c i c i c i -3 c i c i c i c i c i -2ccccc xc4036ex -4 c i c i c i c i c i -3 c i c i c i c i c i -2 c c c c c 1/29/99 c = commercial t j = 0 to +85 c i= industrial t j = -40 c to +100 c
r may 14, 1999 (version 1.6) 6-71 xc4000e and xc4000x series field programmable gate arrays 6 user i/o per package ta b l e 2 7 , ta bl e 2 8 , and ta b l e 2 9 show the number of user i/os available in each package for xc4000-series devices. call your local sales of?ce for the latest availability information, or see the xilinx w eb linx at http://www.xilinx.com for the latest revision of the speci?cations. table 27: user i/o chart for xc4000xl fpgas max i/o maximum user accessible i/o by package type device pc84 pq100 vq100 tq144 ht144 hq160 pq160 tq176 ht176 hq208 pq208 hq240 pq240 bg256 pg299 hq304 bg352 pg411 bg432 pg475 pg559 bg560 xc4002xl 64 61 64 64 xc4005xl 112 61 77 77 112 112 112 xc4010xl 160 61 77 113 129 145 160 160 xc4013xl 192 113 129 145 160 192 192 xc4020xl 224 113 129 145 160 192 205 xc4028xl 256 129 160 193 205 256 256 256 xc4036xl 288 129 160 193 256 288 288 288 xc4044xl 320 129 160 193 256 289 320 320 xc4052xl 352 193 256 352 352 352 xc4062xl 384 193 256 352 384 384 xc4085xl 448 352 448 448 1/29/99 table 28: user i/o chart for xc4000e fpgas max i/o maximum user accessible i/o by package type device pc84 pq100 vq100 pg120 tq144 pg156 pq160 pg191 hq208 pq208 pg223 bg225 hq240 pq240 pg299 hq304 xc4003e 80 61 77 77 80 xc4005e 112 61 77 112 112 112 112 xc4006e 128 61 113 125 128 128 xc4008e 144 61 129 144 144 xc4010e 160 61 129 160 160 160 160 xc4013e 192 129 160 160 192 192 192 192 xc4020e 224 160 192 193 xc4025e 256 192 193 256 256 1/29/99 table 29: user i/o chart for xc4000ex fpgas max i/o maximum user accessible i/o by package type device hq208 hq240 pg299 hq304 bg352 pg411 bg432 xc4028ex 256 160 193 256 256 256 xc4036ex 288 193 256 288 288 288 1/29/99
r xc4000e and xc4000x series field programmable gate arrays 6-72 may 14, 1999 (version 1.6) xc4000 series electrical characteristics and device-speci?c pinout table for the latest electrical characteristics and package/pinout information for each xc4000 family, see the xilinx web site at http://www .xilinx.com/par tinf o/databook.htm#xc4000 ordering information xc4013e-3hq240c device type speed grade -6 -5 -4 -3 -2 -1 number of pins package type temperature range c = commercial (t j = 0 to +85 c) i = industrial (t j = -40 to +100 c) m = military (t c = -55 to+125 c) pc = plastic lead chip carrier pq = plastic quad flat pack vq = very thin quad flat pack tq = thin quad flat pack bg = ball grid array pg = ceramic pin grid array hq = high heat dissipation quad flat pack mq = metal quad flat pack cb = top brazed ceramic quad flat pack x9020 example: revision control version description 3/30/98 (1.5) updated xc4000xl timing and added xc4002xl 1/29/99 (1.5) updated pin diagrams 5/14/99 (1.6) replaced electrical specification and pinout pages for e, ex, and xl families with separate updates and added url link for electrical specifications/pinouts for weblinx users


▲Up To Search▲   

 
Price & Availability of XC4000E-SERIES

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X